implement routing + storei instruction
[clump.git] / master_rom.v
CommitLineData
3b542afc
MG
1// ROM module with single input addr, output port, and clock input.
2// Data is clocked out of the ROM on positive clock edges.
3
7f1b6bd9 4module master_rom (input clk, input [3:0] addr, output reg [31:0] data);
3b542afc
MG
5 always @ (posedge clk) begin
6 case(addr)
7f1b6bd9
MG
70: data <= 32'h04000004;
81: data <= 32'h04010002;
92: data <= 32'h07010010;
103: data <= 32'h00000000;
114: data <= 32'h00000000;
125: data <= 32'h06000001;
136: data <= 32'h07010010;
147: data <= 32'h00000000;
158: data <= 32'h00000000;
169: data <= 32'h04010003;
1710: data <= 32'h07010010;
1811: data <= 32'h00000000;
1912: data <= 32'h00000000;
2013: data <= 32'h06000001;
2114: data <= 32'h07010010;
2215: data <= 32'h00000000;
3b542afc
MG
23 endcase
24 end
25endmodule
This page took 0.01148 seconds and 4 git commands to generate.