worker/master split
[clump.git] / ram.v
diff --git a/ram.v b/ram.v
index acd11eeb1613e592e4058f5366cc09d25ccece71..28e0f06e255e12f126c4c0656f3d270b387076aa 100644 (file)
--- a/ram.v
+++ b/ram.v
@@ -1,7 +1,7 @@
 module RAM #(parameter ADDRESS_BITS = 4)
-(input clk, input write, input[ADDRESS_BITS-1:0] addr, input [15:0] in, output reg [15:0] out);
+(input clk, input write, input[ADDRESS_BITS-1:0] addr, input [63:0] in, output reg [63:0] out);
 
-    reg [15:0] memory [0:2**ADDRESS_BITS-1];
+    reg [63:0] memory [0:2**ADDRESS_BITS-1];
 
    reg [ADDRESS_BITS:0] idx;
    initial begin
This page took 0.009481 seconds and 4 git commands to generate.