]> iEval git - yule.git/blobdiff - flash.pcf
Get rid of flash.v
[yule.git] / flash.pcf
diff --git a/flash.pcf b/flash.pcf
deleted file mode 100644 (file)
index 6ceab94..0000000
--- a/flash.pcf
+++ /dev/null
@@ -1,67 +0,0 @@
-# Generic iCEstick placement constraints file
-
-# Red LEDs
-set_io LED[0] 99
-set_io LED[1] 98
-set_io LED[2] 97
-set_io LED[3] 96
-
-# Green LED
-set_io LED[4] 95
-
-# IrDA port
-#set_io RXD 106
-#set_io TXD 105
-#set_io SD 107
-
-# Pmod connector
-#set_io PIO1_02 78 # Pin 1
-#set_io PIO1_03 79 # Pin 2
-#set_io PIO1_04 80 # Pin 3
-#set_io PIO1_05 81 # Pin 4
-#set_io PIO1_06 87 # Pin 7
-#set_io PIO1_07 88 # Pin 8
-#set_io PIO1_08 90 # Pin 9
-#set_io PIO1_09 91 # Pin 10
-
-# Connector J1
-#set_io PIO0_02 112 # Pin 3
-#set_io PIO0_03 113 # Pin 4
-#set_io PIO0_04 114 # Pin 5
-#set_io PIO0_05 115 # Pin 6
-#set_io PIO0_06 116 # Pin 7
-#set_io PIO0_07 117 # Pin 8
-#set_io PIO0_08 118 # Pin 9
-#set_io PIO0_09 119 # Pin 10
-
-# Connector J3
-#set_io PIO2_17 62 # Pin 3
-#set_io PIO2_16 61 # Pin 4
-#set_io PIO2_15 60 # Pin 5
-#set_io PIO2_14 56 # Pin 6
-#set_io PIO2_13 48 # Pin 7
-#set_io PIO2_12 47 # Pin 8
-#set_io PIO2_11 45 # Pin 9
-#set_io PIO2_10 44 # Pin 10
-
-# FTDI Port B UART
-#set_io DCDn 1
-#set_io DSRn 2
-#set_io DTRn 3
-#set_io CTSn 4
-#set_io RTSn 7
-set_io UART_TX 8
-set_io UART_RX 9
-
-# SPI
-#set_io SPI_SCK 70
-#set_io SPI_SI 68
-#set_io SPI_SO 67
-#set_io SPI_SS_B 71
-
-# Configuration pins
-#set_io CDONE 65
-#set_io CRESET_B 66
-
-# 12 MHz clock
-set_io CLK 21
This page took 0.022112 seconds and 4 git commands to generate.