Writer now works fine
[yule.git] / lisp_processor.v
index 2ffb4cafd6b0d065392bb678f68b0423a7381d5b..910b1735c4ca6ad818aa1499705b50287d2f774c 100644 (file)
@@ -122,5 +122,6 @@ module PROCESSOR (input clk, output [4:0] led, output uart_tx, input uart_rx);
    assign led[0] = eval_clock_enable;
    assign led[1] = uart_is_transmitting;
    assign led[2] = uart_is_receiving;
+   assign led[3] = writer_finished;
    assign led[4] = !reset;
 endmodule
This page took 0.00882 seconds and 4 git commands to generate.