Slightly simpler writer, P becomes freeptr
[yule.git] / writer.v
index 1db21f1f45141bc5c924d72f1383a9d1674b0800..c53d89f21c0ccf6487f6c8d87e17ed4f8d014dc1 100644 (file)
--- a/writer.v
+++ b/writer.v
@@ -3,11 +3,10 @@
 `define STATE_WRITE2       2'b10
 `define STATE_INCREMENT    2'b11
 
-module WRITER (input clk, input clk_enable, output reg [7:0] tx_byte, output reg tx_signal = 0, input tx_busy, output reg finished = 0, output [12:0] ram_addr, input [15:0] ram_do, input [12:0] P);
+module WRITER (input clk, input clk_enable, output reg [7:0] tx_byte, output reg tx_signal = 0, input tx_busy, output reg finished = 0, output [12:0] ram_addr, input [15:0] ram_do, input [12:0] freeptr);
    reg [1:0] state = `STATE_START;
 
    reg [12:0] current_index;
-   reg [12:0] freeptr;
 
    assign ram_addr = current_index;
 
@@ -18,9 +17,7 @@ module WRITER (input clk, input clk_enable, output reg [7:0] tx_byte, output reg
 
                case(state)
                  `STATE_START: begin
-                        finished <= 0;
                         current_index <= 4;
-                        freeptr <= P;
                         state <= `STATE_WRITE1;
                  end
 
This page took 0.01021 seconds and 4 git commands to generate.