]>
Commit | Line | Data |
---|---|---|
eba93362 MG |
1 | PROJ = lisp_processor |
2 | PIN_DEF = lisp_processor.pcf | |
a051754e MG |
3 | DEVICE = hx1k |
4 | ||
5 | all: $(PROJ).rpt $(PROJ).bin | |
6 | ||
7 | %.blif: %.v | |
eba93362 | 8 | tools/yosys/yosys -p 'synth_ice40 -top cpu -blif $@' $< |
a051754e MG |
9 | |
10 | %.asc: $(PIN_DEF) %.blif | |
2ed306f8 | 11 | tools/arachne-pnr/bin/arachne-pnr -d $(subst hx,,$(subst lp,,$(DEVICE))) -o $@ -p $^ -P tq144 |
a051754e MG |
12 | |
13 | %.bin: %.asc | |
2ed306f8 | 14 | tools/icestorm/icepack/icepack $< $@ |
a051754e MG |
15 | |
16 | %.rpt: %.asc | |
7560fdba | 17 | tools/icestorm/icetime/icetime -C tools/icestorm/icebox/chipdb-$(subst hx,,$(subst lp,,$(DEVICE))).txt -d $(DEVICE) -mtr $@ $< |
a051754e MG |
18 | |
19 | prog: $(PROJ).bin | |
2ed306f8 | 20 | tools/icestorm/iceprog/iceprog $< |
a051754e MG |
21 | |
22 | clean: | |
23 | rm -f $(PROJ).blif $(PROJ).asc $(PROJ).bin | |
24 | ||
25 | .PHONY: all prog clean |