]> iEval git - clump.git/blobdiff - lisp_processor.v
One clock is enough
[clump.git] / lisp_processor.v
index fbcca7e0cdb814d67e1ddbfda503a0741685e64d..2ae446f753538e951589853f90e26fd9fbbf08be 100644 (file)
 `define GCOP_RDQCDRRX 4'd15
 
 module PROCESSOR (input clk, output [4:0] led, output uart_tx, input uart_rx);
-   wire [7:0] result;
+   wire [15:0] result;
+
+   reg [5:0]   initial_reset = 30;
+   always @ (posedge clk)
+        if (initial_reset) initial_reset <= initial_reset - 1;
 
    reg [1:0]  counter = 0;
 
-   reg gc_clock = counter[1];
-   wire eval_clock = !counter[1] & step_eval;
+   wire gc_clock_enable   = counter[0] &  counter[1] & !initial_reset;
+   wire eval_clock_enable = counter[0] & !counter[1] & step_eval & !initial_reset;
 
    always @ (posedge clk)
         counter <= counter + 1;
 
-   wire [7:0] E1;
-   wire [7:0] E2;
+   wire [15:0] E1;
+   wire [15:0] E2;
    wire [3:0] gcop;
    wire [5:0] gostate;
    wire [5:0] eostate;
@@ -46,9 +50,16 @@ module PROCESSOR (input clk, output [4:0] led, output uart_tx, input uart_rx);
 
    wire          step_eval;
 
-   GC gc (.clk(gc_clock), .mclk(clk), .Ein(E1), .Eout(E2), .gcop(gcop), .ostate(gostate), .step_eval(step_eval), .conn_ea(conn_ea), .conn_et(conn_et), .result(result));
+   wire        ram_we;
+   wire [12:0] ram_addr;
+   wire [15:0] ram_di;
+   wire [15:0] ram_do;
+
+   GCRAM gcram (.clk(clk), .we(ram_we), .addr(ram_addr), .di(ram_di), .do(ram_do), .result(result));
+
+   GC gc (.clk(clk), .clk_enable(gc_clock_enable), .Ein(E1), .Eout(E2), .gcop(gcop), .ostate(gostate), .step_eval(step_eval), .conn_ea(conn_ea), .conn_et(conn_et), .ram_we(ram_we), .ram_addr(ram_addr), .ram_di(ram_di), .ram_do(ram_do));
 
-   EVAL eval (.clk(eval_clock), .mclk(clk), .Ein(E2), .Eout(E1), .gcop(gcop), .ostate(eostate), .conn_ea(conn_ea), .conn_et(conn_et));
+   EVAL eval (.clk(clk), .clk_enable(eval_clock_enable), .Ein(E2), .Eout(E1), .gcop(gcop), .ostate(eostate), .conn_ea(conn_ea), .conn_et(conn_et));
 
    // UART outputs
    wire       uart_rx_signal;
@@ -79,7 +90,7 @@ module PROCESSOR (input clk, output [4:0] led, output uart_tx, input uart_rx);
 
    // UART logic
    reg       uart_tx_signal = 1;
-   wire [7:0] uart_tx_byte = result;
+   wire [7:0] uart_tx_byte = result[7:0];
 
    // 300 baud uart
    uart #(.CLOCK_DIVIDE(39)) uart (.clk(clk), .rx(uart_rx), .tx(uart_tx), .transmit(uart_tx_signal), .tx_byte(uart_tx_byte), .received(uart_rx_signal), .rx_byte(uart_rx_byte), .is_receiving(uart_is_receiving), .is_transmitting(uart_is_transmitting), .recv_error (uart_rx_error));
This page took 0.023405 seconds and 4 git commands to generate.