X-Git-Url: http://git.ieval.ro/?a=blobdiff_plain;ds=sidebyside;f=Makefile;h=7d80540cdc632d0d3582b21cb7f2b94fe80fcdea;hb=9c2d207078b3aae663ad8506f2aae47eda26134b;hp=9c85adf21b491fd8bc26dfe38af6fabf12ea3ba8;hpb=9e30ab0cd6964785b3e01e2f3343e1fe4ee49462;p=clump.git diff --git a/Makefile b/Makefile index 9c85adf..7d80540 100644 --- a/Makefile +++ b/Makefile @@ -5,19 +5,19 @@ DEVICE = hx1k all: $(PROJ).rpt $(PROJ).bin %.blif: %.v - yosys -p 'synth_ice40 -top top -blif $@' $< + tools/yosys/yosys -p 'synth_ice40 -top top -blif $@' $< %.asc: $(PIN_DEF) %.blif - arachne-pnr -d $(subst hx,,$(subst lp,,$(DEVICE))) -o $@ -p $^ -P tq144 + tools/arachne-pnr/bin/arachne-pnr -d $(subst hx,,$(subst lp,,$(DEVICE))) -o $@ -p $^ -P tq144 %.bin: %.asc - icepack $< $@ + tools/icestorm/icepack/icepack $< $@ %.rpt: %.asc - icetime -d $(DEVICE) -mtr $@ $< + tools/icestorm/icetime/icetime -C tools/icestorm/icebox/chipdb-$(subst hx,,$(subst lp,,$(DEVICE))).txt -d $(DEVICE) -mtr $@ $< prog: $(PROJ).bin - iceprog $< + tools/icestorm/iceprog/iceprog $< clean: rm -f $(PROJ).blif $(PROJ).asc $(PROJ).bin