X-Git-Url: http://git.ieval.ro/?a=blobdiff_plain;f=Makefile;h=3ce34ed343030babed0436dca5aa09d4ca7e5da6;hb=ab3ea03d7c7575d8d9917122a463935867a8572c;hp=f26533bba3426cf418bfe3c80175a1945bed6e16;hpb=a051754e2f539c6ed180e93ecf31cdcb95950896;p=yule.git diff --git a/Makefile b/Makefile index f26533b..3ce34ed 100644 --- a/Makefile +++ b/Makefile @@ -5,19 +5,19 @@ DEVICE = hx1k all: $(PROJ).rpt $(PROJ).bin %.blif: %.v - yosys -p 'synth_ice40 -top top -blif $@' $< + tools/yosys/yosys -p 'synth_ice40 -top top -blif $@' $< %.asc: $(PIN_DEF) %.blif - arachne-pnr -d $(subst hx,,$(subst lp,,$(DEVICE))) -o $@ -p $^ -P vq100 + tools/arachne-pnr/bin/arachne-pnr -d $(subst hx,,$(subst lp,,$(DEVICE))) -o $@ -p $^ -P tq144 %.bin: %.asc - icepack $< $@ + tools/icestorm/icepack/icepack $< $@ %.rpt: %.asc - icetime -d $(DEVICE) -mtr $@ $< + tools/icestorm/icetime/icetime -d $(DEVICE) -mtr $@ $< prog: $(PROJ).bin - ./iCEburn.py -e -v -w $< + tools/icestorm/iceprog/iceprog $< clean: rm -f $(PROJ).blif $(PROJ).asc $(PROJ).bin