X-Git-Url: http://git.ieval.ro/?a=blobdiff_plain;f=Makefile;h=df0855a93bc98b0a7a906b34742d26c8e3d39b4b;hb=HEAD;hp=3ce34ed343030babed0436dca5aa09d4ca7e5da6;hpb=2ed306f8640ffdad28bea2e6487e617e81cdde2c;p=yule.git diff --git a/Makefile b/Makefile index 3ce34ed..df0855a 100644 --- a/Makefile +++ b/Makefile @@ -1,11 +1,11 @@ -PROJ = flash -PIN_DEF = flash.pcf +PROJ = lisp_processor +PIN_DEF = lisp_processor.pcf DEVICE = hx1k all: $(PROJ).rpt $(PROJ).bin %.blif: %.v - tools/yosys/yosys -p 'synth_ice40 -top top -blif $@' $< + tools/yosys/yosys -p 'synth_ice40 -top cpu -blif $@' $< %.asc: $(PIN_DEF) %.blif tools/arachne-pnr/bin/arachne-pnr -d $(subst hx,,$(subst lp,,$(DEVICE))) -o $@ -p $^ -P tq144 @@ -14,7 +14,7 @@ all: $(PROJ).rpt $(PROJ).bin tools/icestorm/icepack/icepack $< $@ %.rpt: %.asc - tools/icestorm/icetime/icetime -d $(DEVICE) -mtr $@ $< + tools/icestorm/icetime/icetime -C tools/icestorm/icebox/chipdb-$(subst hx,,$(subst lp,,$(DEVICE))).txt -d $(DEVICE) -mtr $@ $< prog: $(PROJ).bin tools/icestorm/iceprog/iceprog $<