X-Git-Url: http://git.ieval.ro/?a=blobdiff_plain;f=Makefile;h=df0855a93bc98b0a7a906b34742d26c8e3d39b4b;hb=HEAD;hp=f26533bba3426cf418bfe3c80175a1945bed6e16;hpb=a051754e2f539c6ed180e93ecf31cdcb95950896;p=yule.git diff --git a/Makefile b/Makefile index f26533b..df0855a 100644 --- a/Makefile +++ b/Makefile @@ -1,23 +1,23 @@ -PROJ = flash -PIN_DEF = flash.pcf +PROJ = lisp_processor +PIN_DEF = lisp_processor.pcf DEVICE = hx1k all: $(PROJ).rpt $(PROJ).bin %.blif: %.v - yosys -p 'synth_ice40 -top top -blif $@' $< + tools/yosys/yosys -p 'synth_ice40 -top cpu -blif $@' $< %.asc: $(PIN_DEF) %.blif - arachne-pnr -d $(subst hx,,$(subst lp,,$(DEVICE))) -o $@ -p $^ -P vq100 + tools/arachne-pnr/bin/arachne-pnr -d $(subst hx,,$(subst lp,,$(DEVICE))) -o $@ -p $^ -P tq144 %.bin: %.asc - icepack $< $@ + tools/icestorm/icepack/icepack $< $@ %.rpt: %.asc - icetime -d $(DEVICE) -mtr $@ $< + tools/icestorm/icetime/icetime -C tools/icestorm/icebox/chipdb-$(subst hx,,$(subst lp,,$(DEVICE))).txt -d $(DEVICE) -mtr $@ $< prog: $(PROJ).bin - ./iCEburn.py -e -v -w $< + tools/icestorm/iceprog/iceprog $< clean: rm -f $(PROJ).blif $(PROJ).asc $(PROJ).bin