read_verilog -sv flash.v prep -top top -nordff sim -clock CLK -vcd test.vcd -n 200