read_verilog -sv -DSIM toplevel.v prep -top cpu -nordff sim -clock clk -vcd test.vcd -n 3000