read_verilog -sv -DSIM flash.v prep -top top -nordff sim -clock CLK -vcd test.vcd -n 3000