LISP processor works now
[clump.git] / flash.v
diff --git a/flash.v b/flash.v
index 7168053859171bb48a3cd95a13f7f1dca7268fba..59a472e208a72a7a91c01786a803a0ad145de713 100644 (file)
--- a/flash.v
+++ b/flash.v
@@ -1,4 +1,4 @@
-`include "processor_4.v"
+`include "lisp_processor.v"
 
 module top (input CLK, output [4:0] LED, output UART_TX, input UART_RX);
    // Prescaler on the clock
@@ -9,7 +9,7 @@ module top (input CLK, output [4:0] LED, output UART_TX, input UART_RX);
    end
 
    // Connect up the processor
-   PROCESSOR cpu(.clk(counter[10]),
+   PROCESSOR cpu(.clk(counter[7]),
                                 .led(LED),
                                 .uart_tx(UART_TX),
                                 .uart_rx(UART_RX));
This page took 0.009448 seconds and 4 git commands to generate.