From a051754e2f539c6ed180e93ecf31cdcb95950896 Mon Sep 17 00:00:00 2001 From: Marius Gavrilescu Date: Mon, 4 Dec 2017 12:44:54 +0200 Subject: [PATCH] Initial commit --- .gitignore | 10 + Makefile | 25 + asciihex.v | 48 + flash.pcf | 33 + flash.txt | 4224 +++++++++++++++++++++++++++++++++++++++++++ flash.v | 96 + gc.v | 153 ++ generic_dpram.v | 503 ++++++ generic_fifo_sc_a.v | 335 ++++ iCEburn.py | 75 + libiceblink.py | 376 ++++ multiple_trigger.v | 20 + prescaler.v | 15 + processor_32.v | 219 +++ processor_4.v | 264 +++ ram.v | 22 + rom.v | 28 + single_trigger.v | 23 + to-philippa.zip | Bin 0 -> 36284 bytes uart.v | 213 +++ yosys-sim-script | 3 + 21 files changed, 6685 insertions(+) create mode 100644 .gitignore create mode 100644 Makefile create mode 100644 asciihex.v create mode 100644 flash.pcf create mode 100644 flash.txt create mode 100644 flash.v create mode 100644 gc.v create mode 100644 generic_dpram.v create mode 100644 generic_fifo_sc_a.v create mode 100755 iCEburn.py create mode 100644 libiceblink.py create mode 100644 multiple_trigger.v create mode 100644 prescaler.v create mode 100644 processor_32.v create mode 100644 processor_4.v create mode 100644 ram.v create mode 100644 rom.v create mode 100644 single_trigger.v create mode 100644 to-philippa.zip create mode 100644 uart.v create mode 100755 yosys-sim-script diff --git a/.gitignore b/.gitignore new file mode 100644 index 0000000..5803134 --- /dev/null +++ b/.gitignore @@ -0,0 +1,10 @@ +tools/ +to-phillippa.zip +__pycache__ +\#* +.#* +test.vcd +flash.blif +flash.bin +flash.asc +flash.rpt diff --git a/Makefile b/Makefile new file mode 100644 index 0000000..f26533b --- /dev/null +++ b/Makefile @@ -0,0 +1,25 @@ +PROJ = flash +PIN_DEF = flash.pcf +DEVICE = hx1k + +all: $(PROJ).rpt $(PROJ).bin + +%.blif: %.v + yosys -p 'synth_ice40 -top top -blif $@' $< + +%.asc: $(PIN_DEF) %.blif + arachne-pnr -d $(subst hx,,$(subst lp,,$(DEVICE))) -o $@ -p $^ -P vq100 + +%.bin: %.asc + icepack $< $@ + +%.rpt: %.asc + icetime -d $(DEVICE) -mtr $@ $< + +prog: $(PROJ).bin + ./iCEburn.py -e -v -w $< + +clean: + rm -f $(PROJ).blif $(PROJ).asc $(PROJ).bin + +.PHONY: all prog clean diff --git a/asciihex.v b/asciihex.v new file mode 100644 index 0000000..cfd4487 --- /dev/null +++ b/asciihex.v @@ -0,0 +1,48 @@ +// convert ASCII 0-9A-Za-z to/from numbers + +module ascii_to_hex(input [7:0] ascii, output [3:0] hex); + wire [7:0] hex8; + assign hex8 = + ascii > 8'd47 & ascii < 8'd58 ? ascii - 8'd48 : + ascii > 8'd64 & ascii < 8'd71 ? ascii - 8'd55 : + ascii > 8'd96 & ascii < 8'd103 ? ascii - 8'd87 : 8'bxxxx; + assign hex = hex8[3:0]; + +/* assign hex = + ascii == 8'd48 ? 4'd0 : + ascii == 8'd49 ? 4'd1 : + ascii == 8'd50 ? 4'd2 : + ascii == 8'd51 ? 4'd3 : + ascii == 8'd52 ? 4'd4 : + ascii == 8'd53 ? 4'd5 : + ascii == 8'd54 ? 4'd6 : + ascii == 8'd55 ? 4'd7 : + ascii == 8'd56 ? 4'd8 : + ascii == 8'd57 ? 4'd9 : + ascii == 8'd65 ? 4'd10 : + ascii == 8'd66 ? 4'd11 : + ascii == 8'd67 ? 4'd12 : + ascii == 8'd68 ? 4'd13 : + ascii == 8'd69 ? 4'd14 : 4'd15;*/ +endmodule // ascii_to_hex + +module hex_to_ascii(input [3:0] hex, output [7:0] ascii); +// assign ascii = hex < 4'd10 ? 8'd48 + hex : 8'd55 + hex; + assign ascii = + hex == 4'd0 ? 8'd48 : + hex == 4'd1 ? 8'd49 : + hex == 4'd2 ? 8'd50 : + hex == 4'd3 ? 8'd51 : + hex == 4'd4 ? 8'd52 : + hex == 4'd5 ? 8'd53 : + hex == 4'd6 ? 8'd54 : + hex == 4'd7 ? 8'd55 : + hex == 4'd8 ? 8'd56 : + hex == 4'd9 ? 8'd57 : + hex == 4'd10 ? 8'd65 : + hex == 4'd11 ? 8'd66 : + hex == 4'd12 ? 8'd67 : + hex == 4'd13 ? 8'd68 : + hex == 4'd14 ? 8'd69 : + hex == 4'd15 ? 8'd70 : 8'bx; +endmodule // hex_to_ascii diff --git a/flash.pcf b/flash.pcf new file mode 100644 index 0000000..7426699 --- /dev/null +++ b/flash.pcf @@ -0,0 +1,33 @@ + +set_io OUT_R[0] 72 +set_io OUT_R[1] 69 +set_io OUT_R[2] 66 + +set_io OUT_C[0] 87 +set_io OUT_C[1] 85 +set_io OUT_C[2] 82 +set_io OUT_C[3] 80 +set_io OUT_C[4] 78 +set_io OUT_C[5] 73 +set_io OUT_C[6] 71 +set_io OUT_C[7] 68 + +set_io IND[0] 86 +set_io IND[1] 83 +set_io IND[2] 81 +set_io IND[3] 79 + +set_io IN_R[0] 100 +set_io IN_R[1] 15 +set_io IN_R[2] 16 +set_io IN_R[3] 19 + +set_io IN_C[0] 90 +set_io IN_C[1] 93 +set_io IN_C[2] 95 +set_io IN_C[3] 97 + +set_io CLK 13 + +set_io UART_TX 10 +set_io UART_RX 9 \ No newline at end of file diff --git a/flash.txt b/flash.txt new file mode 100644 index 0000000..9d1272a --- /dev/null +++ b/flash.txt @@ -0,0 +1,4224 @@ +.comment arachne-pnr 0.1+154+0 (git sha1 6b83364, g++ 4.8.4-2ubuntu1~14.04.1 -O2) +.device 1k +.io_tile 1 0 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 2 0 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 3 0 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 4 0 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 5 0 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 6 0 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +001000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 7 0 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000010000000100010 +000010010000110000 +000000000000000000 +000000000000000001 +000000000000000010 +000000000000000000 +.io_tile 8 0 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 9 0 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 10 0 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 11 0 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 12 0 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 0 1 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.logic_tile 1 1 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 2 1 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.ramb_tile 3 1 +000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 4 1 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 5 1 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 6 1 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 7 1 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000010000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 8 1 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 9 1 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.ramb_tile 10 1 +000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 11 1 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 12 1 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.io_tile 13 1 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 0 2 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.logic_tile 1 2 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 2 2 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.ramt_tile 3 2 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 4 2 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 5 2 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 6 2 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 7 2 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 8 2 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 9 2 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.ramt_tile 10 2 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 11 2 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 12 2 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.io_tile 13 2 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 0 3 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.logic_tile 1 3 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 2 3 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.ramb_tile 3 3 +000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 4 3 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 5 3 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 6 3 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 7 3 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 8 3 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 9 3 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.ramb_tile 10 3 +000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 11 3 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 12 3 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.io_tile 13 3 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 0 4 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.logic_tile 1 4 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 2 4 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.ramt_tile 3 4 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 4 4 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 5 4 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 6 4 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 7 4 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 8 4 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 9 4 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.ramt_tile 10 4 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 11 4 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 12 4 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.io_tile 13 4 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 0 5 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.logic_tile 1 5 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 2 5 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.ramb_tile 3 5 +000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 4 5 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 5 5 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 6 5 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 7 5 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 8 5 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 9 5 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.ramb_tile 10 5 +000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 11 5 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 12 5 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.io_tile 13 5 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 0 6 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.logic_tile 1 6 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 2 6 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.ramt_tile 3 6 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 4 6 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 5 6 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 6 6 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 7 6 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 8 6 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 9 6 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.ramt_tile 10 6 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 11 6 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 12 6 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.io_tile 13 6 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 0 7 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.logic_tile 1 7 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 2 7 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.ramb_tile 3 7 +000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 4 7 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 5 7 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 6 7 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 7 7 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 8 7 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 9 7 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.ramb_tile 10 7 +000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 11 7 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 12 7 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.io_tile 13 7 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 0 8 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.logic_tile 1 8 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 2 8 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.ramt_tile 3 8 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 4 8 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 5 8 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 6 8 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 7 8 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 8 8 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 9 8 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.ramt_tile 10 8 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 11 8 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 12 8 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.io_tile 13 8 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 0 9 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.logic_tile 1 9 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 2 9 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.ramb_tile 3 9 +000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 4 9 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 5 9 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 6 9 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 7 9 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 8 9 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 9 9 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.ramb_tile 10 9 +000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 11 9 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 12 9 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.io_tile 13 9 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 0 10 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.logic_tile 1 10 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 2 10 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.ramt_tile 3 10 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 4 10 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 5 10 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 6 10 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 7 10 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 8 10 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 9 10 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.ramt_tile 10 10 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 11 10 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 12 10 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.io_tile 13 10 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 0 11 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.logic_tile 1 11 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 2 11 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.ramb_tile 3 11 +000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 4 11 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 5 11 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 6 11 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 7 11 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 8 11 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 9 11 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.ramb_tile 10 11 +000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 11 11 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 12 11 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.io_tile 13 11 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 0 12 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.logic_tile 1 12 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 2 12 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.ramt_tile 3 12 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 4 12 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 5 12 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 6 12 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 7 12 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 8 12 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 9 12 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.ramt_tile 10 12 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 11 12 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 12 12 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.io_tile 13 12 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 0 13 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.logic_tile 1 13 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 2 13 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.ramb_tile 3 13 +000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 4 13 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 5 13 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 6 13 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 7 13 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 8 13 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 9 13 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.ramb_tile 10 13 +000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 11 13 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 12 13 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.io_tile 13 13 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 0 14 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.logic_tile 1 14 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 2 14 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.ramt_tile 3 14 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 4 14 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 5 14 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 6 14 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 7 14 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 8 14 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 9 14 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.ramt_tile 10 14 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 11 14 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 12 14 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.io_tile 13 14 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 0 15 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.logic_tile 1 15 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 2 15 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.ramb_tile 3 15 +000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 4 15 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 5 15 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 6 15 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 7 15 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 8 15 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 9 15 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.ramb_tile 10 15 +000000000000000000000000000000000000000000 +000000010000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 11 15 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 12 15 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.io_tile 13 15 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 0 16 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.logic_tile 1 16 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 2 16 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.ramt_tile 3 16 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 4 16 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 5 16 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 6 16 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 7 16 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 8 16 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 9 16 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.ramt_tile 10 16 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000 +.logic_tile 11 16 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.logic_tile 12 16 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +000000000000000000000000000000000000000000000000000000 +.io_tile 13 16 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 1 17 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 2 17 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 3 17 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 4 17 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 5 17 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 6 17 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 7 17 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 8 17 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 9 17 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 10 17 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 11 17 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.io_tile 12 17 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000100000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +000000000000000000 +.sym 12337 $true$2 +.sym 14422 $true$2 +.sym 14531 $false +.sym 14532 $false +.sym 14533 $false +.sym 14534 $false diff --git a/flash.v b/flash.v new file mode 100644 index 0000000..633cf6a --- /dev/null +++ b/flash.v @@ -0,0 +1,96 @@ +`include "processor_4.v" + +module top (input CLK, output [7:0] OUT_C, output [2:0] OUT_R, output [3:0] IN_C, input [3:0] IN_R, output [3:0] IND, output UART_TX, input UART_RX); + + wire [23:0] led; + + // Prescaler on the clock + + reg [24:0] counter = 0; + + always @ (posedge CLK) begin + + counter <= counter + 1; + + end + + // Handle the inputs + + reg [3:0] shift_in = 4'b1110; + + always @ (negedge counter[13]) begin + + shift_in <= { shift_in[2:0], shift_in[3] }; + + end + + assign IN_C = shift_in; + + reg [15:0] buttons = 0; + + always @ (posedge counter[13]) begin + + case (shift_in) + 4'b1110: begin + buttons[0] <= !IN_R[0]; + buttons[4] <= !IN_R[1]; + buttons[8] <= !IN_R[2]; + buttons[12] <= !IN_R[3]; + end + 4'b1101: begin + buttons[1] <= !IN_R[0]; + buttons[5] <= !IN_R[1]; + buttons[9] <= !IN_R[2]; + buttons[13] <= !IN_R[3]; + end + 4'b1011: begin + buttons[2] <= !IN_R[0]; + buttons[6] <= !IN_R[1]; + buttons[10] <= !IN_R[2]; + buttons[14] <= !IN_R[3]; + end + 4'b0111: begin + buttons[3] <= !IN_R[0]; + buttons[7] <= !IN_R[1]; + buttons[11] <= !IN_R[2]; + buttons[15] <= !IN_R[3]; + end + endcase + + end + + // Connect up the processor + + PROCESSOR cpu(.clk(CLK),//counter[20]), + .led(led), + .indicators(IND), + .uart_tx(UART_TX), + .uart_rx(UART_RX), + .buttons(buttons)); + + // Handle output stuff + + reg [7:0] out; + + reg [2:0] shift_out = 3'b001; + + always @ (posedge counter[7]) begin + + if (shift_out[2] == 1) + out <= led[7:0]; + if (shift_out[0] == 1) + out <= led[15:8]; + if (shift_out[1] == 1) + out <= led[23:16]; + + shift_out <= { shift_out[1:0], shift_out[2] }; + + end + + assign OUT_R = shift_out; + + assign OUT_C = out; + +endmodule + + diff --git a/gc.v b/gc.v new file mode 100644 index 0000000..b840205 --- /dev/null +++ b/gc.v @@ -0,0 +1,153 @@ +module GC (input clk, input mclk, input [7:0] Ein, output [7:0] Eout, input [3:0] gcop, output [5:0] ostate, output step_eval); + reg [5:0] gostate = 6'o2; + reg [5:0] gnstate; + reg [16:0] rom_output; + reg [7:0] Ein_latched; + + always @(posedge clk) begin + Ein_latched <= Ein; + end + + wire ga_zero_disp = rom_output[15]; + wire gcop_disp = rom_output[14]; + wire write = rom_output[13]; + wire adr = rom_output[12]; + wire rdR = rom_output[11]; + wire rdQ = rom_output[10]; + wire rdP_plus = rom_output[9]; + wire rdP = rom_output[8]; + wire ldS = rom_output[7]; + wire ldR = rom_output[6]; + wire ldQ = rom_output[5]; + wire ldP = rom_output[4]; + wire conn_i = rom_output[3]; + wire conn_et = rom_output[2]; + wire conn_ea = rom_output[1]; + assign step_eval = rom_output[0]; + + wire ga_zero = ~|G[7:5]; + + always @* begin + case(gostate) + 6'o00: begin rom_output <= 16'o010242; gnstate <= 6'o01; end + 6'o01: begin rom_output <= 16'o000031; gnstate <= 6'o02; end + 6'o02: begin rom_output <= 16'o040000; gnstate <= 6'o20; end + 6'o03: begin rom_output <= 16'o000126; gnstate <= 6'o04; end + 6'o04: begin rom_output <= 16'o001200; gnstate <= 6'o05; end + 6'o05: begin rom_output <= 16'o002020; gnstate <= 6'o06; end + 6'o06: begin rom_output <= 16'o000051; gnstate <= 6'o02; end + 6'o07: begin rom_output <= 16'o002020; gnstate <= 6'o10; end + 6'o10: begin rom_output <= 16'o001200; gnstate <= 6'o11; end + 6'o11: begin rom_output <= 16'o004020; gnstate <= 6'o12; end + 6'o12: begin rom_output <= 16'o002100; gnstate <= 6'o13; end + 6'o13: begin rom_output <= 16'o000057; gnstate <= 6'o02; end + 6'o14: begin rom_output <= 16'o004020; gnstate <= 6'o04; end + 6'o15: begin rom_output <= 16'o000246; gnstate <= 6'o16; end + 6'o16: begin rom_output <= 16'o020001; gnstate <= 6'o02; end + 6'o17: begin rom_output <= 16'o002100; gnstate <= 6'o42; end + 6'o20: begin rom_output <= 16'o000001; gnstate <= 6'o02; end + 6'o21: begin rom_output <= 16'o010306; gnstate <= 6'o06; end + 6'o22: begin rom_output <= 16'o000440; gnstate <= 6'o03; end + 6'o23: begin rom_output <= 16'o012200; gnstate <= 6'o12; end + 6'o24: begin rom_output <= 16'o000500; gnstate <= 6'o07; end + 6'o25: begin rom_output <= 16'o004040; gnstate <= 6'o24; end + 6'o26: begin rom_output <= 16'o014200; gnstate <= 6'o06; end + 6'o27: begin rom_output <= 16'o000440; gnstate <= 6'o14; end + 6'o30: begin rom_output <= 16'o012300; gnstate <= 6'o06; end + 6'o31: begin rom_output <= 16'o111300; gnstate <= 6'o44; end + 6'o32: begin rom_output <= 16'o111300; gnstate <= 6'o40; end + 6'o33: begin rom_output <= 16'o014200; gnstate <= 6'o15; end + 6'o34: begin rom_output <= 16'o000047; gnstate <= 6'o02; end + 6'o35: begin rom_output <= 16'o002007; gnstate <= 6'o02; end + 6'o36: begin rom_output <= 16'o002003; gnstate <= 6'o02; end + 6'o37: begin rom_output <= 16'o014200; gnstate <= 6'o55; end + 6'o40: begin rom_output <= 16'o004020; gnstate <= 6'o17; end + 6'o41: begin rom_output <= 16'o000000; gnstate <= 6'o41; end + 6'o42: begin rom_output <= 16'o000206; gnstate <= 6'o47; end + 6'o43: begin rom_output <= 16'o000106; gnstate <= 6'o46; end + 6'o44: begin rom_output <= 16'o004020; gnstate <= 6'o43; end + 6'o45: begin rom_output <= 16'o000000; gnstate <= 6'o41; end + 6'o46: begin rom_output <= 16'o002200; gnstate <= 6'o47; end + 6'o47: begin rom_output <= 16'o020440; gnstate <= 6'o50; end + 6'o50: begin rom_output <= 16'o111200; gnstate <= 6'o52; end + 6'o51: begin rom_output <= 16'o021100; gnstate <= 6'o54; end + 6'o52: begin rom_output <= 16'o004200; gnstate <= 6'o51; end + 6'o53: begin rom_output <= 16'o000000; gnstate <= 6'o41; end + 6'o54: begin rom_output <= 16'o004021; gnstate <= 6'o02; end + 6'o55: begin rom_output <= 16'o002100; gnstate <= 6'o56; end + 6'o56: begin rom_output <= 16'o000050; gnstate <= 6'o57; end + 6'o57: begin rom_output <= 16'o004007; gnstate <= 6'o02; end + default: begin rom_output <= 16'o040000; gnstate <= 6'o20; end + endcase; // case (gostate) + end // always @ (posedge mclk) + + always @ (posedge clk) begin + gostate <= + /* ga_zero_disp ? (gnstate | ga_zero) : */ + gcop_disp ? (gnstate | gcop) : + gnstate; + end // always @ (posedge clk) + + assign ostate = gostate; + + reg [4:0] P = 5'b0; // free storage pointer begins at 0 + reg [7:0] Q; + reg [7:0] R; + reg [7:0] S; + + reg [4:0] A; // latched address + + wire [7:0] I; + + wire [7:0] G; + + /* + assign G = rdR ? R : 8'bzzzzzzzz; + assign G = rdQ ? Q : 8'bzzzzzzzz; + assign G = rdP ? {3'b0, P} : 8'bzzzzzzzz; + assign G = rdP_plus ? {3'b0, P+1} : 8'bzzzzzzzz; + assign G = conn_i ? I : 8'bzzzzzzzz; + assign G[4:0] = conn_ea ? E[4:0] : 5'bzzzzz; + assign G[7:5] = conn_et ? E[7:5] : 3'bzzz; + + assign E[4:0] = conn_ea ? G[4:0] : 5'bzzzzz; + assign E[7:5] = conn_et ? G[7:5] : 3'bzzz; + */ + + wire [7:0] GfromR = rdR ? R : 0; + wire [7:0] GfromQ = rdQ ? Q : 0; + wire [7:0] GfromP = rdP ? {3'b0, P} : 0; + wire [7:0] GfromP_plus = rdP_plus ? {3'b0, P + 1} : 0; + wire [7:0] GfromI = conn_i ? I : 0; + wire [4:0] GAfromE = conn_ea ? Ein_latched[4:0] : 0; + wire [3:0] GTfromE = conn_et ? Ein_latched[7:5] : 0; + wire [7:0] GfromE = {GTfromE, GAfromE}; + + assign G = GfromR | GfromQ | GfromP | GfromP_plus | GfromI | GfromE; + + assign Eout[4:0] = conn_ea ? G[4:0] : 0; + assign Eout[7:5] = conn_et ? G[7:5] : 0; + + generic_dpram #(.aw(5), .dw(8)) RAM + ( .rclk(mclk), + .wclk(mclk), + .rrst(1'b0), + .wrst(1'b0), + .rce(1'b1), + .wce(1'b1), + + .oe(1'b1), + .we(write), + .raddr(A), + .waddr(A), + .do(I), + .di(S)); + + always @ (posedge clk) begin + if (ldS) S = G; + if (ldP) P <= G[4:0]; + if (ldR) R <= G; + if (ldQ) Q <= G; + if (adr) A <= S[4:0]; + end +endmodule // GC diff --git a/generic_dpram.v b/generic_dpram.v new file mode 100644 index 0000000..ca0fced --- /dev/null +++ b/generic_dpram.v @@ -0,0 +1,503 @@ +////////////////////////////////////////////////////////////////////// +//// //// +//// Generic Dual-Port Synchronous RAM //// +//// //// +//// This file is part of memory library available from //// +//// http://www.opencores.org/cvsweb.shtml/generic_memories/ //// +//// //// +//// Description //// +//// This block is a wrapper with common dual-port //// +//// synchronous memory interface for different //// +//// types of ASIC and FPGA RAMs. Beside universal memory //// +//// interface it also provides behavioral model of generic //// +//// dual-port synchronous RAM. //// +//// It also contains a fully synthesizeable model for FPGAs. //// +//// It should be used in all OPENCORES designs that want to be //// +//// portable accross different target technologies and //// +//// independent of target memory. //// +//// //// +//// Supported ASIC RAMs are: //// +//// - Artisan Dual-Port Sync RAM //// +//// - Avant! Two-Port Sync RAM (*) //// +//// - Virage 2-port Sync RAM //// +//// //// +//// Supported FPGA RAMs are: //// +//// - Generic FPGA (VENDOR_FPGA) //// +//// Tested RAMs: Altera, Xilinx //// +//// Synthesis tools: LeonardoSpectrum, Synplicity //// +//// - Xilinx (VENDOR_XILINX) //// +//// - Altera (VENDOR_ALTERA) //// +//// //// +//// To Do: //// +//// - fix Avant! //// +//// - add additional RAMs (VS etc) //// +//// //// +//// Author(s): //// +//// - Richard Herveille, richard@asics.ws //// +//// - Damjan Lampret, lampret@opencores.org //// +//// //// +////////////////////////////////////////////////////////////////////// +//// //// +//// Copyright (C) 2000 Authors and OPENCORES.ORG //// +//// //// +//// This source file may be used and distributed without //// +//// restriction provided that this copyright statement is not //// +//// removed from the file and that any derivative work contains //// +//// the original copyright notice and the associated disclaimer. //// +//// //// +//// This source file is free software; you can redistribute it //// +//// and/or modify it under the terms of the GNU Lesser General //// +//// Public License as published by the Free Software Foundation; //// +//// either version 2.1 of the License, or (at your option) any //// +//// later version. //// +//// //// +//// This source is distributed in the hope that it will be //// +//// useful, but WITHOUT ANY WARRANTY; without even the implied //// +//// warranty of MERCHANTABILITY or FITNESS FOR A PARTICULAR //// +//// PURPOSE. See the GNU Lesser General Public License for more //// +//// details. //// +//// //// +//// You should have received a copy of the GNU Lesser General //// +//// Public License along with this source; if not, download it //// +//// from http://www.opencores.org/lgpl.shtml //// +//// //// +////////////////////////////////////////////////////////////////////// +// +// CVS Revision History +// +// $Log: not supported by cvs2svn $ +// Revision 1.2 2001/11/08 19:11:31 samg +// added valid checks to behvioral model +// +// Revision 1.1.1.1 2001/09/14 09:57:10 rherveille +// Major cleanup. +// Files are now compliant to Altera & Xilinx memories. +// Memories are now compatible, i.e. drop-in replacements. +// Added synthesizeable generic FPGA description. +// Created "generic_memories" cvs entry. +// +// Revision 1.1.1.2 2001/08/21 13:09:27 damjan +// *** empty log message *** +// +// Revision 1.1 2001/08/20 18:23:20 damjan +// Initial revision +// +// Revision 1.1 2001/08/09 13:39:33 lampret +// Major clean-up. +// +// Revision 1.2 2001/07/30 05:38:02 lampret +// Adding empty directories required by HDL coding guidelines +// +// + +//`include "timescale.v" + +`define VENDOR_FPGA +//`define VENDOR_XILINX +//`define VENDOR_ALTERA + +module generic_dpram( + // Generic synchronous dual-port RAM interface + rclk, rrst, rce, oe, raddr, do, + wclk, wrst, wce, we, waddr, di +); + + // + // Default address and data buses width + // + parameter aw = 5; // number of bits in address-bus + parameter dw = 16; // number of bits in data-bus + + // + // Generic synchronous double-port RAM interface + // + // read port + input rclk; // read clock, rising edge trigger + input rrst; // read port reset, active high + input rce; // read port chip enable, active high + input oe; // output enable, active high + input [aw-1:0] raddr; // read address + output [dw-1:0] do; // data output + + // write port + input wclk; // write clock, rising edge trigger + input wrst; // write port reset, active high + input wce; // write port chip enable, active high + input we; // write enable, active high + input [aw-1:0] waddr; // write address + input [dw-1:0] di; // data input + + // + // Module body + // + +`ifdef VENDOR_FPGA + // + // Instantiation synthesizeable FPGA memory + // + // This code has been tested using LeonardoSpectrum and Synplicity. + // The code correctly instantiates Altera EABs and Xilinx BlockRAMs. + // + + reg [dw-1 :0] mem [(1<= (n-1) ) & !re) empty_n_r <= #1 1'b0; + else + if(re & (cnt <= n ) & !we) empty_n_r <= #1 1'b1; + +always @(posedge clk `SC_FIFO_ASYNC_RESET) + if(!rst) full_n_r <= #1 1'b0; + else + if(clr) full_n_r <= #1 1'b0; + else + if(we & (cnt >= (max_size-n) ) & !re) full_n_r <= #1 1'b1; + else + if(re & (cnt <= (max_size-n+1)) & !we) full_n_r <= #1 1'b0; + +//////////////////////////////////////////////////////////////////// +// +// Sanity Check +// + +// synopsys translate_off +always @(posedge clk) + if(we & full) + $display("%m WARNING: Writing while fifo is FULL (%t)",$time); + +always @(posedge clk) + if(re & empty) + $display("%m WARNING: Reading while fifo is EMPTY (%t)",$time); +// synopsys translate_on +endmodule diff --git a/iCEburn.py b/iCEburn.py new file mode 100755 index 0000000..5a75c4d --- /dev/null +++ b/iCEburn.py @@ -0,0 +1,75 @@ +#!/usr/bin/python3 +import argparse +from libiceblink import ICE40Board, M25P10 + +def main(): + ap = argparse.ArgumentParser() + ap.add_argument("-e", "--erase", action="store_true") + ap.add_argument("-v", "--verbose", action="store_true") + ap.add_argument("-w", "--write", type=argparse.FileType("rb")) + args = ap.parse_args() + + board = ICE40Board() + + if args.verbose: + print("Found iCE40 board serial: %s" % board.get_serial()) + + + sp = board.get_spi_port(0) + + with board.get_gpio() as gpio: + # Force the FPGA into reset so we may drive the IOs + gpio.ice40SetReset(True) + + with board.get_spi_port(0) as sp: + sp.setSpeed(50000000) + sp.setMode() + + flash = M25P10(sp.io) + + flash.wakeup() + + # Verify that we're talking to the part we think we are + assert flash.getID() == b'\x20\x20\x11' + + # Now, do the actions + if args.erase: + if args.verbose: + print("Erasing flash...") + flash.chipErase() + if args.verbose: + print("") + + if args.write: + data = args.write.read() + + if args.verbose: + print("Writing image...") + + for addr in range(0, len(data), 256): + buf = data[addr:addr+256] + flash.pageProgram(addr, buf) + + if args.verbose: + print("Verifying written image...") + # Now verify + buf = flash.read(0, len(data)) + assert len(buf) == len(data) + + nvfailures = 0 + for i,(a,b) in enumerate(zip(buf, data)): + if a!=b: + print ("verification failure at %06x: %02x != %02x" % + (i,a,b)) + nvfailures += 1 + + if nvfailures == 5: + print("Too many verification failures, bailing") + break + + # Release the FPGA reset + gpio.ice40SetReset(False) + + +if __name__ == "__main__": + main() diff --git a/libiceblink.py b/libiceblink.py new file mode 100644 index 0000000..6cdfe1e --- /dev/null +++ b/libiceblink.py @@ -0,0 +1,376 @@ +import usb.core +import usb.util +import array +import struct +import binascii +import time + +class ProtocolError(Exception): + pass + +class SPIProtocolError(Exception): + def __init__(self, cmd, rescode): + lut = { + 3: "Resource in use", + 4: "Resource not opened", + 12: "Invalid enum" + } + if rescode in lut: + err = lut[rescode] + else: + err = "error code %d" % rescode + + ProtocolError.__init__(self, "Command %s failed with error: %s" %( cmd, + err)) + +class M25P10(object): + STAT_BUSY = 0x1 + STAT_WEL = 0x2 + + CMD_GET_STATUS = 0x05 + CMD_WRITE_ENABLE = 0x6 + CMD_READ_ID = 0x9F + CMD_WAKEUP = 0xAB + CMD_CHIP_ERASE = 0xC7 + CMD_PAGE_PROGRAM = 0x02 + CMD_FAST_READ = 0xB + + def __init__(self, iofn): + self.io = iofn + + def wakeup(self): + self.io([self.CMD_WAKEUP]) + + def setWritable(self): + self.io([self.CMD_WRITE_ENABLE]) + + def chipErase(self): + self.setWritable() + self.io([self.CMD_CHIP_ERASE]) + self.waitDone() + + def read(self, addr, size): + return self.io([self.CMD_FAST_READ, (addr>>16) & 0xFF, (addr>>8)&0xFF, addr & + 0xFF, 0x00], size+5)[5:] + def pageProgram(self, addr, buf): + self.setWritable() + assert len(buf) <= 256 + assert addr & 0xFF == 0 + + self.io([self.CMD_PAGE_PROGRAM, (addr>>16) & 0xFF, (addr>>8)&0xFF, addr & + 0xFF] + list(buf)) + self.waitDone() + + def waitDone(self): + while self.getStatus() & self.STAT_BUSY: + pass + + def getStatus(self): + return self.io([self.CMD_GET_STATUS],2)[1] + + def getID(self): + return self.io([self.CMD_READ_ID],4)[1:] + + +class ICE40Board(object): + + CMD_GET_BOARD_TYPE = 0xE2 + CMD_GET_BOARD_SERIAL = 0xE4 + + class __ICE40BoardComm(object): + def __init__(self, dev): + self.__is_open = False + self.dev = dev + + def __enter__(self): + self.open() + return self + + def __exit__(self, type, err, traceback): + self.__cleanup() + + def __del__(self): + self.__cleanup() + + def __cleanup(self): + if self.__is_open: + self.close() + + def open(self): + assert not self.__is_open + self.dev.checked_cmd(0x04, 0x00, "bcommopen", [0x00], noret=True) + self.__is_open = True + + def close(self): + assert self.__is_open + self.dev.checked_cmd(0x04, 0x01, "bcommclose", [0x00], noret=True, + ) + self.__is_open = False + + def __check_counts(self, status, resb, wr, rd): + if status & 0x80: + wb = struct.unpack('M zWA;AA91%0xm~xW9KOh1A`DEzYO8!0gUpsIBYyew(8*?KAM_MIC2ms&%Zy5E>@6E{- z3IOoOF)#qY-<{ky0x|#)060MEH-aZna9=GT0DvGU0082DLC|wBFf%hXa;0(pi?#iY z^UwC*u!0gLe+AIN`%WFGoR63{0Q&{%DDye*!9N*uDpRnj)SOTBHL zfJ#DJ;i_z3-VJJ|xuL?Xlnfo{a|*M$sfHEPy>Y~mlMmX+AVFFh3Fme$ID5pro3Ge) zL5r7iDZ3nZsLFm*aV$$cRqSjAQ5$q<&jDGy>WG}Y)=9`4>+GJdd=xE(QJ{JrFuK!b z$W^msz{9wcLNyhU5@EiR9%O=&LNynW9%MEkjbMV3Mzt6On~X-of*ltJuk~XOi?KAF z1X!8Y`eg|0BAu$g-Y2U)TU9@nYyS!O@7{N1UuiGG2LM?20suhxFWxt{)N?SU(KoaH zPtHHrfOAw_Nb7p)WM#A=O&9?lq7%c^8HN{4KjqU0Plbu`6+^LA=hiBviVO{<8bxkH zo!*svcCZDB+Lf0t4LFu?Sz@_t)tIC>1y&A3G_7yVRh^ptiK1eu{x$EQzSObRaW&*o>M2(HtL`0$9&1f&=F z`4tp9>T}%tkTaYA9Pj?K;rBajcA<*9!F>eO?H9`YJ+A{@JnikyD%#C-Zw_yOp#ids zx~_)5uFt1kqt|ZH}soX2W5X8zoWn=KwIOLTD1M#@Q zqkl*fG@X(gF0(Q2L8lPqPpEVjfyvn`$@lga=7x<}P8{EzqCis zFbH;9oXtilvuC9!+waBEfXwhZGScJ#LbAUqYlM_!0%K> zGm(e|%SeGD^6_RX00fVm2OKjAefVDM2yd+{$Jski`~tF4q>?%`HRM23MRh-iH&qnU zl{W}FTGQlt=h}A78&BiCSS;o@dLW#HgE=aMGOQdqL6H=fQ95d0;E6#MBWZD(+jm<~ zj_*m9;Umowb~u!xs-j7C?&AQEn{<0?B+`ALf@hXvB_g+$-|}HZoMZkTE7Rb(?a#vr zvF1jH+<@)-R^x|f+~u4p8n(c~ewcrQR?-J+{`))MBM^{9+-_4MG5Qzas zNpZoo)s0mt9y%Y76{!YsRfd?&uq^Z7IbRk~zPhd^yXf1W&f8*xMeak*lJw)R0Bl%f zfg8yJc&`U`hG|-ndMBgBrR`K0)%c3lXaOkpS=ar!qNkg@{T9R=~VjJx(#qbnpxW=1?v#}anfD39bmf*&AzC=KMzeBYA$&Lyz+@5B2`%(w)Teyy(j*|&| zzn*Vt`)roV*(Z5?Sv&6GamLc9uPUoQE{U(&A#LBbYnYzlxq!G5Aj^TG5_O1o?Zp#G zXK+ak2xV{+dLbx#z@?(#6n5)eB^a#OKN&zS1dy$Y-upI4tr44$yfw^XG((bdPTQMo zi;FhZnRr0)B<5Dn@u$WOdZFsnF6EKe?_AB5j@q?+t;B#*_~vzC8Hq$L zw}8l>9^(Guk&c_w zS9hoQSa^t6EsZCW)7<>AOsp*mdralx$y58C41U01_7(~X7?1Qo`k7Qf&2_58%V;cL z2p{i0G%+tZU-^y_#H#XpVMI?iNK-4N;?CbqdF`5TflY8WS^r$YM; z!9$2A!bN@?ou#5}shB@y%M77sS#TZ0Ch3`ZA5)Ehd+ljO7a9hrs`mFF7_4z@FW>r1@5`*eP6mV>u3w1q zU^;#o^IMINCXv!WYhCREuQV7kS6vYw(YSD5lMf|l;LJIqsgc#q)C@wh7uMrB$NWc- zGvJ4jMXo-U2ub0?6yBI7i4ht^ZFKCHYqERyvbsz)XIZ`6O4KrRGF{qFCyNxdG;yJL zsla6KIze#VBRcIxL_HnQj2k^r8WtTx2Ak%~R*U!_3BjShA`Ye*p1*>PG^iJO>m9E6 zWz~&N3ErZdPI9wPTlL{U!3|muy=_zima4Up4bq{|Hkv9oY^*%=Bs&r#hDPRu=j}6S zVdAsYdh6_Cp;4kM@%ywj>F#X)8;B~Y(NqJ%n2a@qtou_NKhPL9)lRPHC?Ke(vD z5dlt}%zZdmHIy=d_w=fU{-pug5vzztZ9qQ*OCRpB=z6mBO9Ys1rh^ZQ$1`moe>JK^ z++V*hJ%7PAcT`tmXBXU8206TOr%h-fL;z-+UmAv$>)#(|J!h}ywG37yF zw{@DW427j8bPA@GZSHP&Q-+p68_3i%fsevE$plNbQ@6}x!vs&Sh@EG=c7X;}+Wz2N z5|I4ZiR&K+XN6!%kYk8W1{g}J=i^XIGsr2u_Hs+~3Gvk-67HXA?A-R48j>x5 z-kjiJGQ?RI?bsg`CVSg9;R~yU!j&pf$+S#Cr5SrVky#HsVH*)FD z0Ltsyx03J;4JUGkcXXe?< z)c6*2Mx28Xog?jBiYD~nuPfai0bCBo)`n#BmlvetS$JY<_LA@^!Y>3?oUh%70M%s? za#f<5@EVIpeUpHl-m;BP6c)}N{2%(FJQ7vL^Oz_4saha0kug)FnseJ3Dd}PfSkdS8 zSz#-;W*vmTXt(YO$E7e!_Z&_5`8d6o0=y~?0yEa~%Lbkby^q(JcEsu$o8lu(db;PV z(@y6?2qaO9VYoP$=$;Y9Q{Ild)j}dudra(SF&d}lZ1SC-O+yLK`0H(pq%l{6eAp_Z znaW#3>gD&YDLN@AwCXk#FZ$F-5s4P69~n|~2#Le0L`=E4ID0+nWdw;mF0cYDL&MN= z3VC-D+fv$2)DfA-|I} z=za@O&SrSEDir-=>4GJ|`inVQ0>g!0+J zy!I!7PaFK3(0*U~{O0qdn~#1AC*uaV3?fQITjl-9mg zG%e6v22;9bxlYZNZ8k89j%;0h=AcfN?x)*Mn0)c_JUPc19|L@=s!<(j4nBGhdDnu< zyp}pnIM6g82*D9* zh66Y?+z0fP(V;}F?-+ijQ@xp=SUvbK5l-T3-My>3BVl&J!_0c%ihd!hwOjtpF5g>#qR;})`M?MT9PAEF(e%_O#N zbIsP2Kh1u4%%piyNqo17i2#H|UbHvBQmFT`0!o`f-}NZE$u(V8W+x4x37{li+BMuni{2Twerr2kX8`Llfxr# z*p{Fn`%uzDX{(1Nx?tp%OJ>>}mB$@D{qDbx`vg_<5RmrX+3 zb)YoLH(tr|ZoOpX(>K#A*gJ8I2w2v`qjhAvUOYA_*iWP-;N22!m_jQ(BM*JE*3v~g zlfPqi;6N>>82`;KBpCeC^`V}CA+>0K5UV?8Ke1^!r!U8q(~JI(h`hb+Tm4h5;-=Z2 z%$7WIZz?mF+s4=%e=->_WjA{v_0rR-X|?GM1=tNvN)1NN-7?gD9?lisPa3t0KFilh zl`X&E*~t*T@pvd$*-Oe*AzREgoT7!x6#0ojyK41GReE@YxqMTfjgW1Um@w>g zsqQkI>exvg2hG}Eq8amMZurL>4;F`wp`aiB${!Wo$J}`p2f!go?Pe*Clj4Fd@LJcB z`DbBFZF5S_c4EfaMP0#Ncp zyK)qr4w`bk6eX_-TMCMzeD&3xEGam6Ru!0(apZg(C6+84|0UOLSl0IEqM-#_lY27xAXGd=3H*xa>gsBNqU@`3 zR6|u#y_*0HkXgY~dhkvQkGqgfWAK?_$JKCbD8MD`Bq<%4!$Bf4$dN|VCaZ$ONPzQB zp&Zjbz_zy*MGo0?L%!bq+L!?R!BWGRr`h??YDl8-3m^DYUMYC1s9WXNunsR-q0P#* zoL8y`J0lo!u#3l%tKzoskCH$EEo0>O@DNR`BWy?62Xl?HTrn?i7*8lk(ot(Ycf<8Z zk20M+a)TMxKBnXu*l?%i+w}2h3*j<$l*xE=A3R!8Hw%7QX?8M#B z9x4&ygg;^8>5+wV8ikGAxOcOIX$TrRn@pEKu6_?3o8&uwlxicSxGOCiqg)hWNG8r| zM&{bg&%@0S$qy0dkY`znin>P8#l> zpLN{~lX0KZ+~i^Khe|~`$6$2QDaeaW^$?7{bO4W5x9x}DyU8*=DKBnPcbC$5hUJ8U45~45_sYsJ0z?U}6j!9lh2AV_ zaf&ACl(hNR*>gjPzY|qy;wcz~pb(yVZK|Q%-a}agC=YpHQpMBSofdhX_=H!s+!itK zRX?BgGUOFZd*{s>e8HEDYxt&jh%rQbC*)FHnR>wVOC~RPNl0@;G^18}DK~H}LDS8? zz7Tln)gxECPdAC7y%MNQen>`}Dv8~49j1b5SAg##XeD`pCn~IVM;fjp0jY~LZ~k%t zRvJr)j`kXeY#MK~8XY@P&_Gp8jm=M==bx!P5wJ0+CYg>Mq_6S8Uh8OseJk4~fU*=^ z)D<5oCQp&QN0niu7Ohn9{P|L6+Q-tpwWuGz{j79ou8U!~jUrGfTZ8!(Y;LI=K!(o_ z&IYsy91U6bmRo@J_A_%82NX*Q+7o2O=4JtAfmoq2wJSKthkc+V8FibyXZHkK-H3KC zNx`EjhnBQ&(6?!3HjXCt*yXJNDn6ezC3+N79Eaxh-CuH?D|uL=^TMajFE=i-XdgK? zh>v2@8$6n6&>ebU&AJKJ%GL^}S(b_mWI{D4{bvhdn@hfI^C4L(7k*?;OJYe7k}ZTO zP!#ZUP~vN%9J2?L{;Bj-^8dLH0J@;uD|!YgVJ8QFXKdVc`FO5)o#XOZz0|qkay8*` zw|UjUTE_s^^gw)i(hpLZAHk-Oba(z+tUpIv*XMD%=;p3+RQl{8E!3z-9oL)2=TZ*I z@*HBS?fe!7$AJcfKQ*7_b>u0YxGcu&{sS1quXlTbV4A@XX>{Ji-Ms{x!qTM|d>oEb z#r}~C;eBCYgQ^>|bZ3Lhi{tswJN9e@+w>~9L}5c20*0#-i($yf&Rz2=DAItmgJJ*R zYVn-Rt!MB)c~Z(O2DT6_%f`aDbNgskhVO){9M_eD38%pvOSm$#u)s4qu!{H8vWC%6 zn__k0LBXTqD+JBmH2PUz$3;ijk6p2K`*ff{zMI5G2Sp2wQiw(#wFxso<;L3ApT=;W zf3V{h&y!Cvo9KqrZtrK21tA?$rnd~MEGkrjE!SMV9AL7Sc(l%#Wovyd{*~7=!7tUM zL1)bg-B$M%v~9Qtecbkex>zMH&>N+)IMmEmULhmVz2rqz3YK;?hj zQKZ-UELN0Id_Dl~4P#pVfuIw4#&rlv=S#2F5rtBO#D@rlQIhkq>5l2;K_;6()*O+4&_MNn<3I}FD7&o_?Nr0r?|^i@4H0 zfuyf!rg7~e^rlI3gl1L_$TGs$*ow^=a-m{@M`h$Fgw1?e8R*U{If1=hzN^IE`r(_P z!~u4Ho?3;v?%vt6NtaxnoRHcSnR~+|u3-sAP~8{3Zw9=olvT9=xvt&AJ0^_<%!s!D znMo}`=PTudfMsq)xn*Zc+;`(k3oA4{q;or=_*cUi|cXEl`P0cgvPq zNI2w~jNXg;LWhqw>73G7PNm_q!}(b79=lVXM|l+qKGtM`GR^aCRDkclV7R%dp=Nni zw=-k1$Xx|o9_|0369Or#8LkpM7Ru~sV|@mbFL!aE3hHtU+twd!)@RWrt{_0<--$ho z1^>F>haL}e>a<<`Rr)-l_xApzeXJJP=sbOZoWQQhxXLt7IeC#emFjGk7z1>!_Hp#P zGgnZA$SE)(-!NODw%>YbB^DJ@|?#~*43hzTgVw|dWW&<|2$bq|L_sSR0jzxc)If^i9m%|4(jK-Or z)w=ySQk(~Cu)wIgg>@px7Q)|T{`^7a(7J>*4n3|=3;t6If+)(Df(;}9uJtIxGW(O_E67#|iOnoZd@Au!N;T`)xJJEMlwu?XVtai~BJ-1UngjEzky6OHJfbF+@7|GM zZf5Pa!7{?bK9px6n}t!XRyIO)MKWT=EcS>T+WFz!MMyNl?H8d9p8(d7MlmuO>FPJ& zrUqS_Thhu6g&mHGYb0Vt1B;&9OvR9NNfS)u4K|QPMj4WsRBMb@lsV0Nq>-k~EROER z!{vE)P-=%_vSY*X!edjM(DM8!5(ZU_O}XA>Kg(i|@Yp-r?>h1z2 zF7}sRabA9RXJQ#$=*L9S@IKJx+C1a#&34e1lR~1|EbD`jcvi^1a*s%F6fO{(7r=Hr{`awzNj8~QE-;YB^JB{2@5Md zeSC)>)!tz3d-UzTf57!?3UV8P$XP&%iB7vsm7TXwzt#K<%tH)KLSmSBRrriXftboYZ+xF8;n5+?y8vI+=1KhtG77k|U2Xsl z4*s!VP^A8FkDAC8;-x&dbdM)t`)wBOLw_*aS-K|N>u{O3M1DG#Gfl?!H?j4^2|Aj% zMG+7(JFX!BKkYTya|ZXronOJ|DW^S3U=-G7zF5wy3&+c3!Tg!mjCFFueJ(XPazeJR zqgXrkk#CX^XG(eO_8SjXya%C#H!d-@ZS&Ij#tvyKV6-OcD|ruu^i9+m2PB?-2h}9v z(+8t9*oX&1c*IStdmY1dm{x<1%j$6f_smTdb&4=WVHL)&=9t19e%j-P1KdfjeqHBz}jz zldByU3-L@^IbuSr8r%@{-PtDlgA%;VRYb~;qm4;l#9yg)8?!CyO{JVFH5YQon`5OS z@R!*}b72W=k^|Xt@>&+}xmfspI@jx=G5p-gghP$vecy>8;Q|HEzTWWVeXq$EC3(F0 zKG@j<#Djb8!;{2?1LwiL4&n9W+TH{7c{Ui?1DqKG{=5!}0sB4$=R@@{WBa0wE%XKQ z?-dX3nMHAbzAI)nzH46o_lgHw1LOZx@t`!_0ohB3JaE$?8z(v}JwAj}K$A-zhzhB| z!Oq5h{I(|^6w7!B_B2J%cl5HMZR3zrRKay_9*-4j$Sj|RO%B@;^}s+zs(IKQICh@O zHOzohO~?omYZ8vHCxM>4R(*F-KNZeBFW(u$A@1J&J6~)6i&~!=fdf$tK3>c}Ue4@` zw1F7Bgp6Md7(yUj-Lzdh^7%0{#%Q$=1WJ5V{~qk$xn71s!vKK*0GuKJPh9P79sd*8 zELBO_Ju&#sBb9psFt*5rD{Il!Bz%2U;^1;1u{MEWhG@NVyYpeYTDmUpvWsm|GCoco z)%ssbA4*OSDoT;@RKWRs2@Fu5B9h10^ zkm{jz0v>*j`{(H0L-`f3LFLT4((~V7vOtV2dq&<`;-IZnOEuQ8VosE8Ogm`*E*2Yw zocT%RBO|iK<1Ru}?=c&?$M+);6evp*%csyT-QF`CH}`&t==G5-bU(x1znY9)WTjQv zOXm+24X_S;kj&uDFQ#Okg}um|IpdIc-Jelb-46zL?%|b@Gimh0suu9s#2VJx7IcAP z13MLT#o9*6xJld{i(uw2v4+h@fJfeWVq7JTL%`88xeJ49fx;&!Re-K|RPk1|p%Gy3 z%Pt`>wjo%WopL-D(Y} z1-e#&p8AunzYh24HmLf@Z*xt1QF8cNi}aAeuHB@_NjLBPoCz28e-G)(jb7=>U7|1Z zL!YBV^Ps|jhNW?Wdcy&cK3h;RLXS@?!`1g+3075w6n@2cYlMqZc1igL2@L zE$~C1qC;nH!v+Jgm$XUsLgPr$`k$hw|)~B`%sU-wT zn66TK`=3q{P)>v>L3P6gL0tD;0bkbvK7&|Zx?I=scoTX&4^;0?NUc2Xz~!>r(QaDC zpzu;|&KgKGsKmY`gXva35a=MUxkC{_bpHT(!SFob4ne*E3J{ngplh0rwzDO8R&W(d zAOi00VXNHC^u{TS2iJ`-YmV*}whYs6$D1(^=( z&-Zg05MiB(z+X^wCmBgZ5?ajs;>BCgI8ff&V|v28mkHw%aPUz21^}+wLjj3RT!aIc z&d3eFJhM_4gWXpcdc!MgE))JKlf`I~Ta3dOI9Z}cIw8GP+bfR*^hcO$I#U$o0Jj+2rW;xRPMlFwe9tF^Nm zHz#i!PuJJyhracE+u6#;#@3U|LZ(Qf72IX7Wc-|&TK-YSk?e3Ec;Adx;mcgMVC-9C zaSJ4?3)zyLuI+7|2g*@f`FMTQ5i}E>-jUs}S5GPG* z<(LInD`Y(|VGV19@%}nis>6(O&HXQ7J@7Y^AbV|!Lea;TIW%!3u{pI1{;*(M_L z5@qh|Sbr+$7r+&++kSfB$(Al2D_dNkUe0AOdoCzDLp{BWVdj`qwgtWYFoNM5l&G`K z@he9tY^>F2mZe{WSI}6YUO$4jh%BxUD-TwkiBX2r`RCM{YZ(-)aK$nS82GL$%)EJ zpyI_mQn%dVdP#-q_D#o(aRaI%wQ9?m3v$YDiX=6dMLfDWGsiTveaJ=`2fs9bzhfwU zaBD6ax|U^L~H8Qa$(i_hd>12C&l#1_01B3G_eQ zI2>L7V=}#1-!Ru?MHkt*(;UFw|2+_eak0{+G9yVIeMVYX^9#FeIzih*u-KpkmLwhG zmCzN)55L~u?M7fL)Wy;J<@50H^wHbJ&c*KK@$qy4ugRtD!;M37&b4vtTIk`>-A&c z<>5`|vTDog{mJ@E|La^fpkN;R-B>cG4=d2c(-mw72XB+SeXlR$pVl4CVTjb*HM9(z z4Fu%X+UFs6Wr3^@UJ6e|;r+swmwRL4CvSUF&{}WzZqF;9xe|VCd0zXlY+O~Z zW9H=%83KbwW$BcD59sNA&v*d~2zqI{zJkgD7Y4G}+ZyFXryB2y@}X1J_{y%ln%n&7 zsKD9UBKv?sr?U2yghZ!PH2~yw(SbY7SddhEa)Q^kaut4Z(j|jNW%7Xe#$0`Maj|pw zTK2+OA6#Cwds?^w3=od8LHh0!-IwuakFMS)^`u0Ne8aC45sS16L%RR0Axb@UknefI&nR1))%AOs-of0 zsmwhyp8sLPdItvzb!W4K2aS68Z+yaCbT+ouR-K*}0D%I8VQj?#fk7>(bQispw#v0e zTdVS}&HE@@tB5%Ds(-IoY~w*ME_S_dEX`O&R^Yhk;Na1z&Oft!_xBt8@Gp4pU$K(D z#Y*+T`YSrhRcGrrjkEtR8e}^Q!77eAWX`?1{B=v+yy)c>J}+%m30F~T|EF5%++3Eu zd1$my4u=s-|J@vTP6dTiz|w zzmAyrmm~hF)^z)q*xp~(yBjJ`PmRtSUQWK z;g@HEEat5MKjjVS*H0n4{-s}IUe zFDD-xi=_<}FmPy8=57)YX!PZ0}S6u_@17qmIw z7chVsmF}#w)Mk;!Xk)29Mcc|<_=Wif2{aGOLR$PM)}?Kc{(_tQ1*iE7t_cAi zFaXhv0RPVzxWO&A@YLY6>E#gk_8kUIiGH_koV2RebDWX;Z@yY56}%Eq$BxZ+|EMCI2_e zZ}}17K_ifCiSYkPcDK^1OV5pu8-Y%N-_gyesW9N%Lzq$Bn%N-fhUA?5S0iUq^6yOR z1M@G=-@a)}togrTK=$ThmaxNM4lCb9z#Z%)D|VB-18FwnpO%Bq$wgPrJ!+Q+S$>nm zRp33#Nfrb3)qsnHCy!Moa!w4^Q2*0TRYuGV4(!ixKtuZ*~(*rwtD_o;% zT#uk(H{a|Ggr&A;a8O|#8M4PPx+1?Y8=1;&gZ$%)lj3$wKwlP~FzZTY${{}IE+$ae z4EGn?nh{s7z_lea<~v7Sc@Ed)FUa_-Zkc786uP&^1~{%1^Uh37PQdXi)G{?AEL4`R z+LqDBgEsp$6AJ1O89d3c4KSY?^>s9Q_mizBt}!5NS#c3NR-B~Sgo$18q z$#b`)$4)fxQIJP>Im)L9B9xdBVhf4OA)^loaO0yiNuYNkH_IUiLVlxfn9CV=k=O+Q zX+o4q1vF=mCGn(rH#h>j-ke4vuYOny5Ni~|LB}BjYQs=tP&S`wN=){T>}K_11o``G z?O!E1Gedu!iR_f=HEI(=62GW#5NCRbeuyyh+V@`79^T3+z z33A+Gxa>PDB*l0P7XcH6)H7f@8#>O!A=tGlU@}uQ#PQQq7_LVfl4^~_RvHd|Iq|Tm z(55VSR>}JtXVPPe54)%bOLQ#3vVM9#o;*5V3f7NZ@F()D4xDj9x_h0Wz@qD4=2n?U0+^>HU=~yS@%3> zpYZzg0(fu_!#vGAt6R=}WeCM(pdMgjXbkr^r6r_}gPgR!j%Or`4s^27$A5eIv2~1K zNeBx75K0RGfc9U)*Tl%$$llCA$I#YZ&+0#~_?b|DbHEfu{KWVS4~u8}o!~Z{vJBcr zgRiH7(gAc^rb%JFjHA121U5yZo{)Igw6(-o2M0eqJ2IK2Ma5Z_eR6qnS%tN5rn9S) zhI=ma1!-58BHOBz5xb!@D=-z*?j6m zztjl{)V`R5=ba=CFi&r0jdER-VWAzD&BB>@{QSITVD>CwDFt_lM~Xb5NP{Wnl>cjg zEf3RuLFlPYScxEloBE_dNiXM^F*5lTH$+IC(A|rsY4Wh^C>L{vU%RY&W&&zcd>G`Z zQ+$#h)qSc*Z1L=P%3jShVPqAzbbDq*X#E@pHVz%`>-oyd9jsPr@n9=qe5Y=NoHiuR z4gSXQ&ooa93`Sg`@QH@je*Nm7h3^VKrN@$JfmX*$&LPt>uS_2v7p+NliCjQsgXmKT z#NFD#{Ym7bigZum^k}lV;UFSXqs>)Dgnl)EdtP^=#$ET2f`gr(x4XF8E?&W)qbDL+ z!ppjiBf{zpg&U)d!1Ee&Ub?9Z%kwkRFCqES?nn!w6hl~7%>b^E>+5ubd#ZyWv=%56 zHDrt(LSgjj(vx-KBRSX|chXe~pCub!)GeUe!;Yf&11%mT0fu~ zYKu>h`CqI_y3QqdS|ON_zHEiDMZpA19VlJhUzhXdi##YxX@!Qu3Lcr($N&T!d7MW< z8$RE#7=liM>M==x;5$(%4mVVRI_wO%)34=G-0`_HXj_|%T4mPW9Uea)-%CF{E=^s> z#hqa5+`nd>eu?a$h2xYiW{vok)x4*w_iGK?ZWYDk$AUdsS?eAw_=YW)eNNP#Q0`Y&c%4Yw?JYz7p$&otsu`-kcufeB|U>qR*LV2z^r3)$!Ku z`KhDD@?|1+&o_~xE>lQfhOM~boi}6KS{FwRmDN!9c~|9Q1HBwRFMvc&$i=ZYMwLG2 z=7}+&9*w%c0`6BL-0lbM7^ar(=MB63%yKwX@0W6eJ-~&^2sv{MtJE%ITu4(h3!u%$ z??KEF{AZw#1Ry9mg$s0BKWz5O0sI%fAf=xpS$rhJ7S@_LElJ1~xkC1SKYHpt5RHkz z(kCba(*2UaZyRhfa8;{DMX19bh5F$EX}%gU(4k7;P{8<+n|M$(UyfBeLl!$~wEAy{W<@jwI!zljpEbOGqRfR(?-K;sH(phuGtyTMwYf2eCT526F1 z$XI4$9I5=7*iZEw!o5>M1Py;XD>PeQSk&Z79S6<``=YLWA>65y@`L0nq@^jKzecMD z*_XFIZZlvu!+63mLdTx$Ym0o$8;kW4b*L?vSmnuMTPkPGco-yF^jtS*(SS=An|ZMm z_yzcfZ#y1r)?`!B^@=EiL?*(lX04TP@!cgKE9Pv%r*?2}F$PX!0@T1gT>wqpvDarP z>Jg}?Z=hX-dulsWx)Fc|vGiy6r>65M{8a}se~}SV-DN+sa&j+cG&s>ndNI9D*^8HW zrzbA{R*|YniZgVI1;%53s{6AW;6ORsbZ=l$)&t}uY*@M<1H;;cy}0nEtKybT?O_0_ zP~BH7{T3gCdUaddSidFgmw!8^ln4Te^YI2>HD7s8dW`xToVqIoJSU`|-yez#R4vK8 zn^f5QZM(A`;AH(?X6lV5^Huv8Ot>>R%<>z9vz|O<1D_z!Kq|eyWO|)m+J0pnbx+mb zW|t=seFn!9Qkhf$O23T}RxU=L-!+1@tFJ-U!T=!%W59BqTMR@z=?68H#Sp3XwGV1<5p{m+Dct3gf<1v>Pe(y zFKD+Urb2|J#ZCtX@>u&*sjL~T&Rcqt4|*c>8-`x1qlOY5Is{gO*GlH&$zJS#-xfeX ztg@?%&WBjsa8YTDi5z>*q7AXre#RC!;{6DZ@Km3FFX?bYurgCN1Y09V0I8y88SSs| zUwJMpRiqiQ?IcHi7BhbwH;xxI5|OYbbg=ML1rYc&B>XO7j5X9NS;6B{(C_007Ax{i z%V-n=SJOC>KEQq)i&|@TZa}<<*MjNh6I`lrA4+(eP~KzpfLmS3mccrR&%2p)x$|6T z?CC3AgT=bp+ZFSjj#7|fAXpV|iq(&YpfB=4q99F!hdQ&S8W762TwPcy9}!A5B&@e! zz-V<#13w4{pD^|IlP#}_N$g_sI`A3$UT+u&*GTme&h0kZX@xj+6>0RjNR#3$Zn_rTVkh21<=|WuD+ZZK z>c7z@+9-%al51rBU=z(&NkfwlPFUkjs|CI0$=IX4!_ru*Rz>W)J@o49J+-^z?JayB z86y%X7zotQmD~jXwwUQ$;%xgaS)?CWBRcW94J6$RyLO)C9Em8M=is_5n($nU6C#=g z`8Fr}Y57TK?)lnpd3B~;B!FW*saCX0*}m2h(K%_kSwTE7F+*i36A<+MW4&4N%&>td zNVrk0;fE+S9h508B;-RAlx~wt)ti@dUJ-rm7)Z8ue^5PeaeqMdwqkcB-Qlq5VPLr) zZO@o^`qRd0Ht8{H0(t(xT`1K;{B6<)mH9!h4HnTV?9kkn8$Vr~cV>PR^8^;eDRvFt zOEbxHa;|kBiikCi>-LiWsJ>n6@$e~!veu>R6xk<`r@s4;3xoxJ!JDaqBkI_dE$VmV z_Ywk9#%vTo=*=Vz1wm+Qg()!Wf=HF&c(nPz{1lbXQN=_#B+}V>>B)%F+ZWIbg6B^ z1KW4o<~)zwku@ZdwC&TPKg#WuXjAJ&=rclU7PD#Irqx% zcj+FR=UG$N*<0^Aj4BuX9obQqA1IqjKdJE5H3E0=aA3y?vQ!jDJ% z$#R9TLT zz7FP&M4(X_&}ypykt{WayVvb}1@p)KvnZnycHmUaq@la=x+{=ffNet;F z#>4hOQ|XFCpm!Q>Kd_qeu^>e3CTp4Y`Kj;23D&FD{sx`?%=7{vm_-$ADpz@=wMHgI z+v+dY?ajA0qKCK@?_b2LKpmQDCLru_ImA%JP4PQO=7zSz(V^4cYeF184Ii*FDB4zE zAwSEmjNJ%7CXi(~^XD!XM+lX}nPG>yEUWHKrjO#(Vhb7zmy8VAd^qv;25KUUtdJOZ zzSn_%g+5&v1g}g%+vr>cKBVcj@z6#h%Y6O00D?6vKRl#m&>ckhFlAQUtHD9S8-Ql{ zy);M{s?^xZI{$M9zWMkRiMrf1*<2=S6j;BC10nG z)+39o%QUTQ%Y4zfy0j3`wmp8t;=g~|f*dC?f0DI2+?{7@Jx)`_MpaB4=$N&Ja{)Z{2v6-=rj)Q@Y-v7_EQ_ad{RRr~u z>LWaZ$?VSn`f=;T>P@yj)fxv_02=>#W_Be}evc`Uh$14nHOrS*4re@H`^skjidH(} z#PMX7N6wqf<5SLd-W{6PNKv;Den$&9(OMDXUAbg3nT;1eHLvaF7no+YNOIB6Z05io zK3D$cILtc&Os?27lE&y%0!e1eCav>6yF7E)rzj>jOv>B`OH{9}z1SXGHtyvWnYaQ> zp#TRzd9FG9=4DyYhX#T0F*_@f4YJUi4uA4j8MrCPT-$UT&XA&cQi*)o!sGRVYvLOh z6_mky>=W1c0lm!geDnQ~wvap>6b?TT9J`+W){NXV6(Y^bEXh*D?ljh>erlv;=ZXyC(;KfM zJ7;fgQ!rqm3V!Yla*m+PeX+~x5vuo2vFde@lS%qyqpdJ7@~Sh>=qRCb;$6sjdgyMA ziDs}$nX9k1c#ZJxw$yT$%;h2NN2jR@GCfDdEZ;{K$R{(^5pjI=&L0`T2@Xl zJ2tmVt(`awTaUGXluhPU})Q zwDbLGX$8GGXy)lA*A81|OwlEm4WpI{4HG{92Wk>pdDF^tIK%2*khmI5-pl(MI7s9J zDuc}LclVJaVTS$b&E0)e40n=-{)<9J$2)elz5Dt2;`&sN{Sy;~if^ zUu=5V++&>;!b}^Ng#F2?ldCFJYQAZ$V65FLO@o{Y!fj%oV)FWrT;zy9&RDQR^G~+) zao8PxCrlg5n408yX+vvav$L4@V>fmyT{4>!^*$0C%4+e;JZf&4o6b}?n)1DVYV`_Z z$YD81%g6o3(`t3;Oy~)V%N3zF0gF(JT}g(FP;5<*e=Nmy7mR_67VHaURP5GSEkN;Rk20|DekC*rP>^83Q*%W?A)8Fe zDEwnoa7G{}u-8dU)cshX7Vv;V_f~~}DIUY4k3?SDxaKl9s_5*J>JZwK@y>H1q4KD^ zKb9iSOo=z=P+7swZv;*VS?N($709u?JZgj2*1cCK@m#lCv^FlM`2meJg@ahS#-Gpt zAjy#~bVu^z8|R_X0nf+n(&5JElc zH5%)fzn?({H!h0ycwm+3W+C3`L{6n?BHIu!N?V8?nn@K>?py7OZNitI91Mig|zBL zGB{l3jMqwa0_%u#P{S!!uN+HIZ$ZE%;gw9n!tc@eC*a26uYAQ*!AB#8VXe5p2zvYn zx3b*&n@)B|O)tZu8*#@uKO+Z}WIF!To4X>g zc%uVy?`6rDD$GGGgVb2ss~>kGJDKVB&&uS$cN>A<0AaAR5JU9MNKNyb(&OkyqCL{e z9Ez1{J6ctA3EEjgbSs>fegEMiYM5f38+!42{QQ%`@NJ%h;0i^L+`vJma9o#MFtiG) zMyFM1LmZ;Zjw+pm!8l_pE)=-MQ&O4uc^&H28A;UR;RnyCqGng^_jX`36i;pKVeGXl z_(C53kc@L#=XgecSbUe=mK&8O#EO&FVul&Jc<~}hSb%U_99M6M%3eLIR|Y5r-liK^ z%oaW~?8#1M4d}iF0*h@Q0t~RuF+$Ww+8*0UN%>re1}gFsH6zYIe<)E1oD)ArP$$}o z7)+a>S*G@+^JufMu?@!b6*=P`U-W*ouz~ikOv}^`m7Uq)cwSh*+`J7am|XA^zbsva zSn#=3yOG7e`%68Evr%*l2zxdR4^+a*2Wk>C43+_z!M>EZ>_`f;PZbMfMxQ-o9pCQC z)K6-AMLLaf^xDO~vJUE?Oijdz3GfQVSDw-s)i+$8V+)>`Rws8a8TLUxC*O6)u;U*Cu^;SpouVP&=EWK5 zgv>-EqNCR_67;ZT*Y`6RCwvvYf(xLyu`CcRQ+0crKhkUW7|9f3I0=JqXBh}>_=%&5 z|CE|^+MZID*kmC!xou$y&oBU#2!sR#F1hdx!51k*_xX_(e7e@QyErTr!(uoPq(&Fv&kgzRR4bOUUzLVs` zQq=|UIOnB9kEc*-p2aH*%SsvUqSsRP+Ke9~yohQo4V;d?7(5NR9kAR2FQDzun zTKObm?9MvYdWqHAihW*t&7opeDB1{AHFj>0(J&ioD-*NO_!9`<-}>y4d{#JrNtj{? zQn>TMx-}8^@gZ0%=oO5@C1~N!u{ge%kmBYjUCb2`Y6_@RA;If)lyeCtgb+wwZn2*d zBo8@6MgWH49uwXzmlJS{U6eVyy7XB|h0fW`acFuS!v^t?C8PwxWQpCJSz7oezg>CLF;E z+iw<;(90McHu`%!W2Sx3tE)$YTe(_X*&}ZXp*{-fl>qRG9ChtyM@#LwF5Gi>+E`%Z z{sxf#-@da^j&kIy9((Yt@SiB?p!^7=q3Gzz{){nzRFlxtRHkN)xN}BAIo_^1ylv{< z+Z(RW-^O1hR=H2!-kok-aIZ;jt?{%E`*2I2S7HV0fQyOC7xH-YB$EZ8@e&agHa2!; zYN!6LmGahkr;4JyyAQG-{4&XYM~h9KPCiBz`jfnj3RjU!busZ^#Q2nG!~ND=F~1?9 zd8}6(N%FR4hY0s8+2Fo4nX?`2Om#@UWpER)JZMF}5Wq$A!&q&Bhe2lotiia(l3_NI z!sfp0R>`$mamD_)BYx&=9hJIUaRv1|GI3Q!N}sBcPU+UQe!G zNrz2`$?2MN!O=I;U$8&1>+HQmmneC9uW6@vx-+0xEh#!8#-=F0J!{X7a-6Ga0T#CF zK5=y0!u$57pKccJADWg)-MxHL}?Nw{Wt^8T_h zkdq%nFI6|c79k42GK27Z`W zdX>%4?B)DG;#pQeuJ`QX=42lS%I7PeBB2<5OaOpU>pMdtXJ`K#dgdkPk%u;<^6eB5>DBSO zCxDc$Sk--O#eodfXwl&lfnZn23Jn zXjaaG06h;&I7r*17kFqDk9m+VEfkzN@l|y4U3K}GoLK>q5%JBPT=+HHruXwcmRYw} zlFr#!z~G?bu~X><;uz*~zy^S-=?v$uQu#dVJp#+gRy=t`i zWI=OvcP6Z2ohb+g%&$FPXr9lIQ$H~3A8(m~_Xm$>hg)=BQ}TY1N^EtF$0ypWJpJT; zFl~cLs*s=^A11VXxRSWxR9bqp=%H%fV_9rt!HRZcODt8J?h*Jo+mlwTGRzv?OrZpAsbgmaL4yoiQv0Q zlMOfuqxKo;Hk>16LRN4m-79kjzq+nzxSfhc2eTz}v|Rm?9uwgS_)Fm=`HCyQP2w96 z%6!7@n=}*akYp>rnsO>_kT3AVwQ7@eV`yF}hQCH*40Dclws8IaZEv43WKPQs2>@_M z0|0>X+uq*7RL@l3P|w2D%KX2Ky$v->>orz{_e<>_`QZf4qWVML*V zxcDC4O$r*9VdurRCUri?WQ&DDzr(7;@9&uG^5m6lljagD!$9#1xCBrCwrUrhCzx=y zGgmCZE*b%)_r;`5JqPU+NtZ|zX)fjkbYUA{*l5R?MTa{_*KLcY^+WTQ{+R|v21Gx) zqKoV#AGe8?fx=jBIw-g$ftCC`cr2Cni{&kSR<k(NO0_pJu2@waFRm6RY3|7nfST8IzLiJQ z7d~cevQ(L=sf?#NbFf$LNkf^B;^UtVOl_I$b6X^&xP`S>&Bv{2(vul0sTOZ*ZFc?p zS98(HwT^aj^_lPNZ4QOX@h!V{FRjNd8SPo@$;zl#RU}7dkN&w=8wOs~N3qzX6_x-7 zGwky|!I4}jJ$UD2B3#YRjFAT^>!ypUoo&7`wJ;9jXpl_i!Udz++nv6o#%XLFX~tE1pSmZ=$KF=!rtv>$>g^0`d!b{k z?UT~>wK)sTVZM?+L`3fwRir2i>a4!YiCv!#Yhgtu1*X)v3+V2x+dOp=Tu^Mt%Tz;> zJ-7P=p+wK9A#rEyG9CVSl9PH>?*zGGnniV$n-KM8Gva}{hM(d=4&6;;FS&6+Jx)fPj2J)Wo z>ogZ~H9%yEVL*vej($M$LkJ$u=_Avy@&StXzS7=a-t4qlT8OqmD%9 zPjt-_bK9hTxGcWnwP@&uhfd`13u(UvKxD1lAPyfF3XJg$yA(aMl|xpp&~0m< zN^*=DqcAo>dJGo>!hhsWVWtk>Wz|XuA{g;W5``s*?^I0Y1lVle(s2+Y#y(8<+Bk%z zO!6q3Y8sU1_7R>^CkuDR2Qdijs1$uoJkVr5 zpQ zy^Q83eW8;lk_~d-uDhvQ3vfe{gqZ%2bKqESrlb}qr~$+NfG@YO_Dp)7hc%;LN7$hs zX2f|MU*0Ro&uKH{3JC*rvnzyYZSk!9w;ihsZ|{S2vuL@Q`0zRuJEpOZq?hk&i zjBt`;;E3+(cJg$QY*34}o(9A+F5YZy&wTO$9~1N2XMoR;^wF2(E&8&IB4I09YiHG8 zuUY8AJ1II@2K}#)&S1k;qV^uhVD!N%Ml5ZnSx)gJ-&Tt=t z3+5=F4F=7*)~*b3tXIgI9V}9hWo%c(&-IBPZOI$=zuVSV9D#S7pAMIcPI9uJPn9(1 zR{O2jh^4S$rJo)yxHq9S)$et03gsXf@m6~YFLtA9x@`>Y;_LShbXuVR0?S%YnA2;o z;ss)WzMaa<;Y^6_kH~+ZyPvOfS;2vyLcmvsC_ZGx_C*w}R8?F^E@io6AEy7n5B0mMUtA@i$U%1R0`5%g8> zF@8Dhlo_*XO~Y1Z_mMzb68lq^0<`Y2k~MZIvygI&j85@F*~ATR#S>MWd4{G!55COT zhYbKxVV4B5Wyora3I=qh(T)zM=^z^6p}vBR)ea58LheJfv2BvnPBIoR!F@dJ>vrQD zN6zw}sBJ4z1!1>btrcVwM%_P5(+nX(%@BJV5E1E_DM>@`SgP8f1LJ!-?Fs?8$BZ+> zU&84ogK>n8DTjiN&eyq6BloyG=6K4EU;Ija{LLy)$m2uj9 z)8|SQf^B5fkV>i?j?8I`wN^buKLVHPeN;qh9u{vA4>M*(yRekST0T{rYGNPS5SaCi zyJzGnek;snCd!Gi$P)3&tG{r1UH2rS{2Ix7!ypS063s>H{G=ns$s(omT9p!m4kt^l zE`JTsWI?^1T$jFfdKkhFp^=r6d6C1!8ImysrA%5 z30N?d@ek}?^Zuc?2_05oP1=t*5$+JW!5^F6mQCYkKr6hUPng|RW^$MG!~mkWE@Cl!`KfPM!$F2&v6y_dW5nPD(Tc#euzpIisS8x%03(#Q zXn=3#e@9Cy8d-SYe+1n2zmGUiLp=Z_l4eLk+zM_ENL}f+(i`Hx<#dX{$C_s|0^hG= z#bK@!0M8=dmaX&Yj2D*zM1j`{8NyD$>%|H9x%x!Jlwu~!=6r>BBf+KsP!F`#3w>FQ z19ZC&7!Dwfz<|C-|AZN= zehG{g%nRa}h?(hq*{Yh2yChM2YSRF<4(U!yeg%q_!P(=_nKlh@k7B57k`W>y-#uq} zPn*Q2nhs>QFAfaA9JOtgQcfO>X0s~NG#Iy@s-l<#MVZiUgmp>9E>Ut%p=hl9kdIgH zK)AY&XRf?Kc|Kyzu+@XKX4b{I%)3JNtr)&6qhaIn4Llv}{&FbM5@9b#*n}M20-LOLus}Ykm-R6db#b( zX#YyWfQMOasj#BzHR?5nlx~UB54j4V$Wq!kyQoHDPC02pE-G!ALEpkLBkVK@ItAqw z+|WX}VATpuFyf0*PG;9cbk_8#%d{%D1CaV8-LHy3C&+T3i=b2pAdT=|@Mi^_lQGcG z+P(7H&xkU+cM|m->aE~-(`pL~%hf+_T8kE96|i4Rele_b9SsOeG0rdR)R&JaY}sS1 zE(}mYQ^8Q~$l(l;m;%6b_;^4QrSgxav6Q*UWbX>Kql}*;AQ-MCofC0zjwV{-$(hIFkXH$e=-3~I=mWL zHWGX#?4e~VJnJboQ(u^*OOLHB&6{?2KaOH#XJ~TfwKsNe)~E51wDP;;35ubrLw8ra z0Lnx@4@Ep`-Nomv)`YYebjrkXhLoC2L4hMsu=(zF=v5YX^3rsq;wz{C5g?@9SGN8p z-;p0^iA7N0l4?Y|N#VlE^Cpn@*zlJs?9sL;;r11h#YOpIaR3*xEUZJ;ypYE{$)@Z< z@$3cyqzJkgeFI-6;4y=m$3JqCEt!7)aPV#11l@pJTD~M~TjtVRvP(=BDN98MAd7R) z)~4+ZENz|?HBirfz_sM;F5%l6Q?K2%ZStG|_y*GhBMg5F^k>`J39i=Aezq(6r}i7; zx3;x(v~V!Bu`twjuroC_HnjWChnR-3+7f-A?!#NJ|g}Z^lABwJQ2`U z-KF|w>xoW;;k(+LBVYTd0!Y}7-mRqL3WxjCs^OJF6G@ONkhK;-rOL2%)l1t2iP_7) z7Q_(;1k+--mxhpn5TWlP@GqEEVF>SQR+5X)ZC|m$@S77uBQH~E_a+AvI*pb=`$C8n zcfCw#Ed6AkUl;tYh)NBly?L~9?#Qib6u#oXt_qNBOITh!96xYJ<=%((1g>Y8w#cB* z#hc<*mQU2CVC2*K(Ed%Eb7m=l1z$Km4w}w{~=(`7iEwma#Q3{&;Xf#-0$y zU}^O*mr_IAKws<>2#^6y&U))mK`Jm-@IGV8Z}kw@Kv$O7m<)%VT%UlnRE00?im7e$ z`+b|85vHqFLf6Q{Kf?6g!icMs?O0oWtdC~R&0Wh8LkjDmCkW;1^VQ;DcvwWh!kc&| z=*Bfvo9Q4i%exi{6qDQ=$RO4Sm|l#A^vP=K#^_Wu1_ZBXG0_Y_ORR78QSaX zTKu=CTqv3YvX>Vw;Pn+5h#8!ME;10~+BZ-K-;5N+*eWvkNV7S3w~OV7kmmdnX$z)K zH7Jr;*=~RuXI2~Uc-;SXIG9xo7XNBiEDya69Ue)yPV*9_?!hixTF!pVdVfT(bM@+T z*-eg6a<=`w)Et)Nxi~#B3f*V9T44tc_T{IEji9Mt6bc9^^c_jF+jUVNl9(k{Xjra# z7^0%wo@P0AjTcIMZldP?9*>|}HCeNL?JOOv+CApMGx%~$mdMJ#J#<>>mcS05UZ1z< zf0{Tx6K&`l+S^;(X*1CO=Rsef;%dDriuA$pj#Q;-imJd*x4)WSEb&#!RKU;2M46w; z_WX;mqs2a9ecOfe7EAEO%`#l6P=(r5`|EQ@s%v)U#UPCDlJA3px0fYnKIEBCK;uCi zUKf^}^+}v7()5AcmV4MnNabDIpuYghJmGW~w#;D`sKBsS#TE``WRNf&)(MhP=I-IC zQXVZ6`rgP7>6{U?E$u^c$&IKRW2x{G^PkHtsU}E9hnLkHS6fa;$xrbkKN9ZIL}IT3 zKSeO&jti7UFPb^08c&R-;E5~K!?(L~^bAFH?hF(Qrpyer(oOC>!}5g&6%iH=-sy-1 zaoC0i9bIl4uVOO9QX^5uI%wg`b%dwxv|L=)<9K*eL|?%bikqScTbi%^BavAbAc0m& zP~pqzvVTD~#h|QCHv>RiZAZM#4R%>~mt$yTA+d3BB3Ek-J?>0hf182 z(e*!a1=nt16en5!va2Jq=h*Xq%0QdkiBRVGIG_fg-Ruk;2@CS^rV3GF4C%xc#>B-j z1x~UYS~gV*py5${3=2$V?++(yq!i?yMMP7{>>$t$NQxNh;M<_h^dNPjd(CUJvi=Ox zRe;l?ZMHoG?_9W|x7pl3lJpEAbY@vdC;7%4y%Hoz@G|Ki;q)PfH`q^SZn@Od6<{+= z?N(b1#=SZ!XV^lc4K*A`PdFnrCFZ;`SAIXbc&(Q}w(1K!Cd!ux`87E1zJBSjI=+bt@s~`n1ni ziTts?@7C)V>-WOf5(Qo>SrL>IX2@s`%-M27$4b}M4WN!tCV>n}LIu2flOp%n42b=i z!P^vJiWa#tBlO=ab7t&V7MtMSeMVPdL+gmHV;psnap>T*3Vp_6={Fm85`T5+0WNB# zb(rtQ`Up|$?x0Xsjy<$o45^%aL9HW(A*F{g!T>vLj|dLZ(H!js~`Gv9kHjc#M77w$oTm(+GiamZZBhRc%wPJxoS($Zq z@D9n?5Djd91h(_Qe}cNWn50$_B{mES=e2MXD}A9xY#ezytv4> z zwT)X^d?#3;d-jH28h+sJ*2- zA7U8ZgJ+D{{A2l@yTN7J@++UgLdY8geBG&2hAGXx$9AfQE16t# z-FS?kg$>*-4UO(-Pa-|x`=qb=uah?CiS()?fNNY>S#@S6uk*;QE_D+KLi{K_)XWJ5 zZL&8zb;NJ=C*yMaB)V4g1_0N*B(+U#odbGvB~`sZ#Kc%r3wW^kFB`w=@zjZm$75pC zutH*lTRkQQs<_&GpH{`P=yw$gC)A$bw#6*WIPXPzgO(0X%q4(URU5%N7v*rqj*J?yfhJt0&EJMm|upr7XMSJYa}$~a|P z3R%&>4k0z10>FlPSnJ+#UyPJv4`|=_VDXfWT6%uI+A~KnSKpngW{dL0geH1!sI`deSIuUy(WHcwE9bu&cZ z+97J;a)>=FxZBOv#ZLiA;PR%sM4n2r0=;xsfF(^v3oEI%Ru*s624Zg#LmoIu;;loXnhWSV4cTi2q1&XAe1B zYk6|-_W2hj#%laVYHjwuROlL|)O!vtpj|~gZz<5aPLP`f_oBL){Hv@fp4@ogY@tUZw=Z=b}9% zca(Ep&`#w#Z(Xk&KgVrC0)DJBVq9(dt{@otyhHK^DV?GDy)q7M!@$D@=ys0o`Q)RO z``L)0TKIi|-~QGk;6n(fBTh<7#1^FEKa5~tgT3v;+xUo41evCUCrS`w976-7Ozs89 zhcu%w%G(ITpTdM0sfcl)@Zds!`dBnK1N<2R{|GqX<_Rd~FyO6|o0Hvg!v{?`te>1L zRCc(09L5JOFI_)EuMA-_ZiY0PIIL?|q-n!MwoBH&OO~SqnNgXIq((O*Xp7C+ zznbV(H;oinPq)`)Hp_{l?;ZHpF5?tfUCADH8;#CE2}lOXL%P668(6m3)1`_;^FY$X z!QPcaZ~=7H*#ZS=j|kc!a6zb=Sd0Na62e^1!a()a6p4R0q#5;|DkzE#F7adqdKG)J zWuKgETjWuKyLzH|R5h}CIA$1RUh!3i=KUdcFXg+1Xe12k9ju1k> zj#fm8}606%{sb$tFt-D1EHTQyrd)fktZ@5aqN!%^xJ z`}`&eh(8n)a1ITj`G}*eS5YXs!`B7rYU?YwWnr6)L%l{;a?C6Wpyo%8Yv;YlDXMFj zDTgN64S4c=fTQT=6$fm)&5bf>WKAripXA9*mty4)>*G4XfN!{?_b8An7xzoV2dNqq zbkc1nFoTwKyq5qm8-36dOI6EDX#?JI)Lv_?&Pb^RcW>EG#{Q^}eE*Cg~bfNPBWczZi=~Ca(kJeG8sZaGlrErRjb)}HqdWZq?^4LVl zx>CaHIKu?t0E>?5<{Fc3YodU2CjQ3m)MNa8RR*)$#c9~X9zD@{F+xLN1B>qLiGMwk1_pOXkXT@8Fbyfv zBu92vW|X|h6&MRe`Dctayv-8&)<I&##7>s1K_Lki{8KzTL%MnBD5f{WQ$rz1M#Jk5CDY485 zxCNtA{W64B&lv1TZv>AgK?Wu`qhoIem1piIYJ5P~K%GhI>S&({$~o_Q*k7CzWkoU3 z1w=XVe!a03m2-vq+aE&1E&f zUfz6id>`}55hJE-vtZ0HN?nNch8XllC;S@F!!uSB4Ft9dmggz_%-82b((s(Q^!#r# zqCk~aI>={WxO@0dlh*%hMx@cxHUCc*gdfW8GHar6A8qeQ0y3?uW;Bj?vf?lsfpC1K z0N?fUOG8KowfqA0)xCJ#mtHSf+Pu#DoWwDG<9@tN*c%%eD{E~(r%G%)T3ENrR5d6H zh3LhxHp=LwX1MgMl8!MxE}nVFsJHBILVlH`L0!DvJ7>6-`Iz{za`vF`bsnz}N>v4w zqF*6jQsxX!ar7Y+kWNuOsHli3q=X`^7;YiyZf9N&p9FTiw>2#~nRF@1(NR_BvX&9r zfB*2hkw3CJso!8gw+?_l4Ks7G93DnX}c4@E`BFS78?XqhG$S|slb5?!_Dk=4S> zlYH%4_X`&DJ0p&!x*RXX@;kN3YMZkTmkaoI7IbJ+s0ZMaE=u2zWWNj66_UFP#t2<~ z4p_1p$aqGutTh>@b3bfCE@J7l0pQGz`!5IxD>Xk5C^*9Umi z=122^*f8BhSRk|`eG9)Y&1Box13&bu!s$4gy6!J4OD+v)oB&NH4fC8~4a=ut zs7iqku=+|*3xaY&m4W30O4cyv4-#OQ>{G;(mf{a++PX;ToKFuuwek`m3VcvL?rSaa zhnzS2`UfhTml07tZEL>K!1NEex2l9X`_G`w_3GWL0`_U^^ujkJSbFc+%}DJ9&ycGV zn}^!@at~#y$aoM&>-4xL7KrO6Z^UM{`0!W0U+@O5+_1;%Cai05v|SWXYW12uh;}M8 zFyLxUD><>(2T3eW!9+@v4# z$5X5tNbg?OG5>_%Ipv!W=7*@87K+N~4?G3wsA%;;L|3)^jNzWE_Ch2RbLPl$*5 z@D3kU&R^mu5@-VqLc&S!)Df|D7jkekToroRo~b`pvhx=}t8!xkee0c09ll*L$f;L6 zlz7Q!?T$-Hl`>&p6B{Y~2+QnRE>npTtq`ZDtAZZj)AdqTSBkY;5bV(~7A&x>S6t_F zA1IMQYdAhNQ#-X%L0iF~^Z53jt<;!^n~Aw+q_+89isbRrj@_ z97(NVOGTmZ(AqZx%LAIj1E%ul#F|3M9^E*XGk{qzx%LHwN~*11XASNyEL6k=-i-l~ z^_>dF_<4NXC^s;uz4^!Mmgcd3d*d<7cfI}#Z+EoM3_;apRj|4`#^2=F@0|jQW?_hb zB@ZF)#dH}lU3mYw(|{kK2I2j*nr3~PXQ6+4um0Dl8jjz`tUmMTw!cy;oq`Zx%$5dI zFv^u2=LBoBCLlL%payYV42_?k38b>s-2sI65@_gv ze+=OM%MUPeN!0$-u7mrE@S8*a{-&$H)m)df3EI}gH#@&=>(f1 z-j(@b$Q(%BUJ;a<_np?#5S*pdM5mPp;xJ!LdntH&Io<2apgn}3l3 z4zR_r!k#6`1mm7!CA-*_(*evkA7-8%Eeai3ppd_+6-^|FYn2lHj+PTthBmmS^xb0x zduC}1<&oa+0HCio!6FJA;QG0nhiRR^4~b8A-lSJtn4;&&C{SYz6oN^tVzCU8y_Zb9 zdjdG<0ogDDG(nCTbZ&A3@g944lD7|7RQ-B03wLCa&6R@f!BZ#d{GB*;fpIMETlmkr z@z@S;3zUV(i722daSJ)p6CW>EToLDGksT}9-Kh6w_3^?^px}c;wAc3_ATTZERQ*S1 z4L}v-=@P(JMcyii=2qaZEr+fdk1?=&eJ!tiodAChn*`fnHJH!-0{R>_Xuk~`ds8dpzk9Z3DcfV!OAFQUM7c?WC>mmA z98?d7F_UqT}F6R)RVnDc=>JKVD=Zdg%?6gTx zer>z&xk^CN0dZ2`$T{mi!%Skiy2G&%qQ2deA%b*BNwu6Zzw-0S4fjOzj#LPe0tUgN zJn&)- zy5NZ~LAe)Qv^R9(rryVzT@zfCk`EO&94k@TxF(C?3^ta_oCUqQW+ zXK`=GN#8;r3hYodQ0+W)5sAWY8kVj(63dzV>o|djpGTSG+&(>dYC{P z2VS<0rth(_xzHfWX*S^OE0wd33%Hf#YWu2wVX1oIa!^^bucVN~Kj4N4BKI65xPwd) zZ0)2pQmI~CaL4DWBwGs1NyLcNDx`8EEFM#tmGzMO>BdvRaVd_##q#9ORE3SchCX!r zqcNKn-Y;@BF6?h{(5A2dl<}PU;tVQpeLI8AF2H&*b^TXI5Z^V$|LNwu-5~Tqay>X*+&+0IQyN#kcgorClvq(SsKq_7od&tfZ z_q6Te@BVA0%Tc8AW1U3Rxsr!u#2FFxg?0eO9xOVq6Kqbznmv`xq#us>lk+1uECbB= z+*y_`)AtVEyZgM82P2jlVaZ#Ng_9l$H2$RS$gBBj$BQ0=-NofB+w*NLHm@Pa+5h{N zr%7M+$MnZGStU4xoHRlKGh*f?Hal^J=P&Q=ws^Xq3@H(wu-=U`np5AJv({7Rf;x{T z$>^Oo_xW7KXM_qvX<4?6^PL>NkqPm`AcRt z0DSC?fUZ$Tbk+AD?Xtrmd?AgPyM|P?M9ZNmGAFMs7Zz?({bs5_wY@ivd=7h&jW`y zzgibofmN%G6p;L946a|trim4NFpoPVUzr!+(XWk8H`rD(qfnnGm4^VLO& z3^%i^yzUKPM-8uDIzG7Ae0n}G;PwP~lx(<3dq3Nqy)d-5arUyM?jEGC9!#S@WW{bk zc&WEJbHc7YfNo-wVXPwwG-29TpWvRsi(#Op{EU-MpI*&-teAqUzF4@SUATuOA=xHbfZZMG}0)9YlX1k z#Wqy79!<2-BxvkdgK=ld^iQ|X5hF?kGW6@&&gQX_>#bFqW|cWR$n z|5xi)rT%$t&9QpTllJQ+eJC>1P=0Cb?z zCrLg4F2q_A1Nm?bau;#cg7858mXJUdzEhzY(;ry%N*CKq52X+%Wv5c)?ORuDQnJh5 z@+VY;S$9|JVj z11-+%o0{fpWoi26Q<@?D+fUXdh-SHF{zA;KV#AvS(o|0`*4d82ZoLhi=9KrzYOr`a z@RAw3D{aX(^a-D*7Bwixt}V z{1vf@C~8_NbNwf6naJv}79eYe_gtE^WgdW<*~rp%j|65->6_wMe))s8tlXckHQLUv zQOAOIc+(!2pkFL1o(VXAcU3gyneLD9h&T_Ha1&97DJZgR^cf|POwi3VY;K$}Bfqg8 zGk6raqvQ9^o_-^RoM%X00uiLuWDuP=>CxQk+4Xm#_td|qC8b!hAAL;PCC9xzG>S1PBbtgcF#77;fz)VoO@A1kvl z^@_=navd8Y1L(?{7A1Jf@s6vGX%vzI-$~^}kuE4`q=~Y}>tOcym`43vFyjZrX`+Gi z3s;XLn*c}I+5Xiemw>R1DI2|zdTx@@uRYTe-?N0wdbMcrNFE#hz;y&y6&vJzhwM6< zH_VN98~4!vkVjVH3m^^<#HXwV03cn*M&h4^|G9kqT=o9z2M*wZ?;oX4d5M{!zQZ>~ z1rPwB121SbM;AzdFTls28=8M!{zXIjlmUJHrwqsgFsQHga|JE%dCZ0IKeW%U0QtWB zztME<^-WDp3|;=Q=KJJs|97qn+e&*89st0)=RYz?{BJG)!R7s2tN(8;jVyHSO=$E? zt^O|d&m_4+#{5|ZSk>7vEnv?%K_Mbwu zzlZ%ZmhA6X8@hkT{_PI?Gs5TZ&~J=?LI001srWnccV*(=1OF-P`yH&S|65)EP3HIa*nbKRerFS#|KHgElpp*(^q(g;zoS{~ z{vYUno#XsH{GSHQ-|^ATf8qbfocZ^Ve;VU{N20j?hWwXl?(ZT0v={x39CZ5&`F~oE p{vQ2LYsv3)5|6*=|7$z>e=$KmXX1YZSw;o8{FIM22ma&R{|ELM$F~3g literal 0 HcmV?d00001 diff --git a/uart.v b/uart.v new file mode 100644 index 0000000..6db3650 --- /dev/null +++ b/uart.v @@ -0,0 +1,213 @@ +`timescale 1ns / 1ps +// Documented Verilog UART +// Copyright (C) 2010 Timothy Goddard (tim@goddard.net.nz) +// Distributed under the MIT licence. +// +// Permission is hereby granted, free of charge, to any person obtaining a copy +// of this software and associated documentation files (the "Software"), to deal +// in the Software without restriction, including without limitation the rights +// to use, copy, modify, merge, publish, distribute, sublicense, and/or sell +// copies of the Software, and to permit persons to whom the Software is +// furnished to do so, subject to the following conditions: +// +// The above copyright notice and this permission notice shall be included in +// all copies or substantial portions of the Software. +// +// THE SOFTWARE IS PROVIDED "AS IS", WITHOUT WARRANTY OF ANY KIND, EXPRESS OR +// IMPLIED, INCLUDING BUT NOT LIMITED TO THE WARRANTIES OF MERCHANTABILITY, +// FITNESS FOR A PARTICULAR PURPOSE AND NONINFRINGEMENT. IN NO EVENT SHALL THE +// AUTHORS OR COPYRIGHT HOLDERS BE LIABLE FOR ANY CLAIM, DAMAGES OR OTHER +// LIABILITY, WHETHER IN AN ACTION OF CONTRACT, TORT OR OTHERWISE, ARISING FROM, +// OUT OF OR IN CONNECTION WITH THE SOFTWARE OR THE USE OR OTHER DEALINGS IN +// THE SOFTWARE. +// +module uart( + input clk, // The master clock for this module + input rst, // Synchronous reset. + input rx, // Incoming serial line + output tx, // Outgoing serial line + input transmit, // Signal to transmit + input [7:0] tx_byte, // Byte to transmit + output received, // Indicated that a byte has been received. + output [7:0] rx_byte, // Byte received + output is_receiving, // Low when receive line is idle. + output is_transmitting, // Low when transmit line is idle. + output recv_error // Indicates error in receiving packet. + ); + +parameter CLOCK_DIVIDE = 1302; // clock rate (50Mhz) / (baud rate (9600) * 4) + +// States for the receiving state machine. +// These are just constants, not parameters to override. +parameter RX_IDLE = 0; +parameter RX_CHECK_START = 1; +parameter RX_READ_BITS = 2; +parameter RX_CHECK_STOP = 3; +parameter RX_DELAY_RESTART = 4; +parameter RX_ERROR = 5; +parameter RX_RECEIVED = 6; + +// States for the transmitting state machine. +// Constants - do not override. +parameter TX_IDLE = 0; +parameter TX_SENDING = 1; +parameter TX_DELAY_RESTART = 2; + +reg [10:0] rx_clk_divider = CLOCK_DIVIDE; +reg [10:0] tx_clk_divider = CLOCK_DIVIDE; + +reg [2:0] recv_state = RX_IDLE; +reg [5:0] rx_countdown; +reg [3:0] rx_bits_remaining; +reg [7:0] rx_data; + +reg tx_out = 1'b1; +reg [1:0] tx_state = TX_IDLE; +reg [5:0] tx_countdown; +reg [3:0] tx_bits_remaining; +reg [7:0] tx_data; + +assign received = recv_state == RX_RECEIVED; +assign recv_error = recv_state == RX_ERROR; +assign is_receiving = recv_state != RX_IDLE; +assign rx_byte = rx_data; + +assign tx = tx_out; +assign is_transmitting = tx_state != TX_IDLE; + +always @(posedge clk) begin + if (rst) begin + recv_state = RX_IDLE; + tx_state = TX_IDLE; + end + + // The clk_divider counter counts down from + // the CLOCK_DIVIDE constant. Whenever it + // reaches 0, 1/16 of the bit period has elapsed. + // Countdown timers for the receiving and transmitting + // state machines are decremented. + rx_clk_divider = rx_clk_divider - 1; + if (!rx_clk_divider) begin + rx_clk_divider = CLOCK_DIVIDE; + rx_countdown = rx_countdown - 1; + end + tx_clk_divider = tx_clk_divider - 1; + if (!tx_clk_divider) begin + tx_clk_divider = CLOCK_DIVIDE; + tx_countdown = tx_countdown - 1; + end + + // Receive state machine + case (recv_state) + RX_IDLE: begin + // A low pulse on the receive line indicates the + // start of data. + if (!rx) begin + // Wait half the period - should resume in the + // middle of this first pulse. + rx_clk_divider = CLOCK_DIVIDE; + rx_countdown = 2; + recv_state = RX_CHECK_START; + end + end + RX_CHECK_START: begin + if (!rx_countdown) begin + // Check the pulse is still there + if (!rx) begin + // Pulse still there - good + // Wait the bit period to resume half-way + // through the first bit. + rx_countdown = 4; + rx_bits_remaining = 8; + recv_state = RX_READ_BITS; + end else begin + // Pulse lasted less than half the period - + // not a valid transmission. + recv_state = RX_ERROR; + end + end + end + RX_READ_BITS: begin + if (!rx_countdown) begin + // Should be half-way through a bit pulse here. + // Read this bit in, wait for the next if we + // have more to get. + rx_data = {rx, rx_data[7:1]}; + rx_countdown = 4; + rx_bits_remaining = rx_bits_remaining - 1; + recv_state = rx_bits_remaining ? RX_READ_BITS : RX_CHECK_STOP; + end + end + RX_CHECK_STOP: begin + if (!rx_countdown) begin + // Should resume half-way through the stop bit + // This should be high - if not, reject the + // transmission and signal an error. + recv_state = rx ? RX_RECEIVED : RX_ERROR; + end + end + RX_DELAY_RESTART: begin + // Waits a set number of cycles before accepting + // another transmission. + recv_state = rx_countdown ? RX_DELAY_RESTART : RX_IDLE; + end + RX_ERROR: begin + // There was an error receiving. + // Raises the recv_error flag for one clock + // cycle while in this state and then waits + // 2 bit periods before accepting another + // transmission. + rx_countdown = 8; + recv_state = RX_DELAY_RESTART; + end + RX_RECEIVED: begin + // Successfully received a byte. + // Raises the received flag for one clock + // cycle while in this state. + recv_state = RX_IDLE; + end + endcase + + // Transmit state machine + case (tx_state) + TX_IDLE: begin + if (transmit) begin + // If the transmit flag is raised in the idle + // state, start transmitting the current content + // of the tx_byte input. + tx_data = tx_byte; + // Send the initial, low pulse of 1 bit period + // to signal the start, followed by the data + tx_clk_divider = CLOCK_DIVIDE; + tx_countdown = 4; + tx_out = 0; + tx_bits_remaining = 8; + tx_state = TX_SENDING; + end + end + TX_SENDING: begin + if (!tx_countdown) begin + if (tx_bits_remaining) begin + tx_bits_remaining = tx_bits_remaining - 1; + tx_out = tx_data[0]; + tx_data = {1'b0, tx_data[7:1]}; + tx_countdown = 4; + tx_state = TX_SENDING; + end else begin + // Set delay to send out 2 stop bits. + tx_out = 1; + tx_countdown = 8; + tx_state = TX_DELAY_RESTART; + end + end + end + TX_DELAY_RESTART: begin + // Wait until tx_countdown reaches the end before + // we send another transmission. This covers the + // "stop bit" delay. + tx_state = tx_countdown ? TX_DELAY_RESTART : TX_IDLE; + end + endcase +end + +endmodule diff --git a/yosys-sim-script b/yosys-sim-script new file mode 100755 index 0000000..eae3049 --- /dev/null +++ b/yosys-sim-script @@ -0,0 +1,3 @@ +read_verilog -sv flash.v +prep -top top -nordff +sim -clock CLK -vcd test.vcd -n 200 -- 2.30.2