Remove clock
authorMarius Gavrilescu <marius@ieval.ro>
Tue, 3 Feb 2015 18:36:48 +0000 (20:36 +0200)
committerMarius Gavrilescu <marius@ieval.ro>
Tue, 3 Feb 2015 18:36:48 +0000 (20:36 +0200)
commitd21f1ecdd3824ba94d7e44c0b2d320caa2a0dff2
treebca420914a1c2e964a370eeeb38d8233e8a9b8eb
parentf200e22b1c44f45ef2753aca772b0be945048b9c
Remove clock
app.psgi
tmpl/skel.en
This page took 0.010326 seconds and 4 git commands to generate.