Add repl.png and three unused files
[yule.git] / .gitignore
CommitLineData
a051754e
MG
1tools/
2to-phillippa.zip
3__pycache__
4\#*
5.#*
6test.vcd
67d929ce
MG
7lisp_processor.asc
8lisp_processor.bin
9lisp_processor.blif
10lisp_processor.rpt
11*.eps
12*.pdf_tex
13*.aux
14*.log
15*.out
16*.toc
17*.pdf
18!AIM-*.pdf
This page took 0.00934 seconds and 4 git commands to generate.