Now works on iCEstick
[yule.git] / Makefile
CommitLineData
a051754e
MG
1PROJ = flash
2PIN_DEF = flash.pcf
3DEVICE = hx1k
4
5all: $(PROJ).rpt $(PROJ).bin
6
7%.blif: %.v
8 yosys -p 'synth_ice40 -top top -blif $@' $<
9
10%.asc: $(PIN_DEF) %.blif
9e30ab0c 11 arachne-pnr -d $(subst hx,,$(subst lp,,$(DEVICE))) -o $@ -p $^ -P tq144
a051754e
MG
12
13%.bin: %.asc
14 icepack $< $@
15
16%.rpt: %.asc
17 icetime -d $(DEVICE) -mtr $@ $<
18
19prog: $(PROJ).bin
9e30ab0c 20 iceprog $<
a051754e
MG
21
22clean:
23 rm -f $(PROJ).blif $(PROJ).asc $(PROJ).bin
24
25.PHONY: all prog clean
This page took 0.009679 seconds and 4 git commands to generate.