Now works on iCEstick
[yule.git] / flash.pcf
CommitLineData
9e30ab0c 1# Generic iCEstick placement constraints file
a051754e 2
9e30ab0c
MG
3# Red LEDs
4set_io LED[0] 99
5set_io LED[1] 98
6set_io LED[2] 97
7set_io LED[3] 96
8
9# Green LED
10set_io LED[4] 95
11
12# IrDA port
13#set_io RXD 106
14#set_io TXD 105
15#set_io SD 107
16
17# Pmod connector
18#set_io PIO1_02 78 # Pin 1
19#set_io PIO1_03 79 # Pin 2
20#set_io PIO1_04 80 # Pin 3
21#set_io PIO1_05 81 # Pin 4
22#set_io PIO1_06 87 # Pin 7
23#set_io PIO1_07 88 # Pin 8
24#set_io PIO1_08 90 # Pin 9
25#set_io PIO1_09 91 # Pin 10
26
27# Connector J1
28#set_io PIO0_02 112 # Pin 3
29#set_io PIO0_03 113 # Pin 4
30#set_io PIO0_04 114 # Pin 5
31#set_io PIO0_05 115 # Pin 6
32#set_io PIO0_06 116 # Pin 7
33#set_io PIO0_07 117 # Pin 8
34#set_io PIO0_08 118 # Pin 9
35#set_io PIO0_09 119 # Pin 10
36
37# Connector J3
38#set_io PIO2_17 62 # Pin 3
39#set_io PIO2_16 61 # Pin 4
40#set_io PIO2_15 60 # Pin 5
41#set_io PIO2_14 56 # Pin 6
42#set_io PIO2_13 48 # Pin 7
43#set_io PIO2_12 47 # Pin 8
44#set_io PIO2_11 45 # Pin 9
45#set_io PIO2_10 44 # Pin 10
46
47# FTDI Port B UART
48#set_io DCDn 1
49#set_io DSRn 2
50#set_io DTRn 3
51#set_io CTSn 4
52#set_io RTSn 7
53set_io UART_TX 8
54set_io UART_RX 9
55
56# SPI
57#set_io SPI_SCK 70
58#set_io SPI_SI 68
59#set_io SPI_SO 67
60#set_io SPI_SS_B 71
61
62# Configuration pins
63#set_io CDONE 65
64#set_io CRESET_B 66
65
66# 12 MHz clock
67set_io CLK 21
This page took 0.013202 seconds and 4 git commands to generate.