Add repl.png and three unused files
[yule.git] / lisp_processor.pcf
CommitLineData
eba93362
MG
1# Red LEDs
2set_io led[0] 99
3set_io led[1] 98
4set_io led[2] 97
5set_io led[3] 96
6
7# Green LED
8set_io led[4] 95
9
10# FTDI Port B UART
11#set_io DCDn 1
12#set_io DSRn 2
13#set_io DTRn 3
14#set_io CTSn 4
15#set_io RTSn 7
16set_io uart_tx 8
17set_io uart_rx 9
18
19# 12 MHz clock
3e7694a3 20set_io CLKin 21
This page took 0.01071 seconds and 4 git commands to generate.