Add repl.png and three unused files
[yule.git] / Makefile
... / ...
CommitLineData
1PROJ = lisp_processor
2PIN_DEF = lisp_processor.pcf
3DEVICE = hx1k
4
5all: $(PROJ).rpt $(PROJ).bin
6
7%.blif: %.v
8 tools/yosys/yosys -p 'synth_ice40 -top cpu -blif $@' $<
9
10%.asc: $(PIN_DEF) %.blif
11 tools/arachne-pnr/bin/arachne-pnr -d $(subst hx,,$(subst lp,,$(DEVICE))) -o $@ -p $^ -P tq144
12
13%.bin: %.asc
14 tools/icestorm/icepack/icepack $< $@
15
16%.rpt: %.asc
17 tools/icestorm/icetime/icetime -C tools/icestorm/icebox/chipdb-$(subst hx,,$(subst lp,,$(DEVICE))).txt -d $(DEVICE) -mtr $@ $<
18
19prog: $(PROJ).bin
20 tools/icestorm/iceprog/iceprog $<
21
22clean:
23 rm -f $(PROJ).blif $(PROJ).asc $(PROJ).bin
24
25.PHONY: all prog clean
This page took 0.008348 seconds and 4 git commands to generate.