]> iEval git - yule.git/blobdiff - Makefile
Add repl.png and three unused files
[yule.git] / Makefile
index 3ce34ed343030babed0436dca5aa09d4ca7e5da6..df0855a93bc98b0a7a906b34742d26c8e3d39b4b 100644 (file)
--- a/Makefile
+++ b/Makefile
@@ -1,11 +1,11 @@
-PROJ = flash
-PIN_DEF = flash.pcf
+PROJ = lisp_processor
+PIN_DEF = lisp_processor.pcf
 DEVICE = hx1k
 
 all: $(PROJ).rpt $(PROJ).bin
 
 %.blif: %.v
-       tools/yosys/yosys -p 'synth_ice40 -top top -blif $@' $<
+       tools/yosys/yosys -p 'synth_ice40 -top cpu -blif $@' $<
 
 %.asc: $(PIN_DEF) %.blif
        tools/arachne-pnr/bin/arachne-pnr -d $(subst hx,,$(subst lp,,$(DEVICE))) -o $@ -p $^ -P tq144
@@ -14,7 +14,7 @@ all: $(PROJ).rpt $(PROJ).bin
        tools/icestorm/icepack/icepack $< $@
 
 %.rpt: %.asc
-       tools/icestorm/icetime/icetime -d $(DEVICE) -mtr $@ $<
+       tools/icestorm/icetime/icetime -C tools/icestorm/icebox/chipdb-$(subst hx,,$(subst lp,,$(DEVICE))).txt -d $(DEVICE) -mtr $@ $<
 
 prog: $(PROJ).bin
        tools/icestorm/iceprog/iceprog $<
This page took 0.022887 seconds and 4 git commands to generate.