Add repl.png and three unused files
[yule.git] / Makefile
index 9c85adf21b491fd8bc26dfe38af6fabf12ea3ba8..df0855a93bc98b0a7a906b34742d26c8e3d39b4b 100644 (file)
--- a/Makefile
+++ b/Makefile
@@ -1,23 +1,23 @@
-PROJ = flash
-PIN_DEF = flash.pcf
+PROJ = lisp_processor
+PIN_DEF = lisp_processor.pcf
 DEVICE = hx1k
 
 all: $(PROJ).rpt $(PROJ).bin
 
 %.blif: %.v
-       yosys -p 'synth_ice40 -top top -blif $@' $<
+       tools/yosys/yosys -p 'synth_ice40 -top cpu -blif $@' $<
 
 %.asc: $(PIN_DEF) %.blif
-       arachne-pnr -d $(subst hx,,$(subst lp,,$(DEVICE))) -o $@ -p $^ -P tq144
+       tools/arachne-pnr/bin/arachne-pnr -d $(subst hx,,$(subst lp,,$(DEVICE))) -o $@ -p $^ -P tq144
 
 %.bin: %.asc
-       icepack $< $@
+       tools/icestorm/icepack/icepack $< $@
 
 %.rpt: %.asc
-       icetime -d $(DEVICE) -mtr $@ $<
+       tools/icestorm/icetime/icetime -C tools/icestorm/icebox/chipdb-$(subst hx,,$(subst lp,,$(DEVICE))).txt -d $(DEVICE) -mtr $@ $<
 
 prog: $(PROJ).bin
-       iceprog $<
+       tools/icestorm/iceprog/iceprog $<
 
 clean:
        rm -f $(PROJ).blif $(PROJ).asc $(PROJ).bin
This page took 0.010426 seconds and 4 git commands to generate.