Several cleanups
[yule.git] / yosys-sim-script
index 9cffede952a7db8d46e6e4a9b1e7a326590ea9ec..10f21eca9ecd507c127ebf4655dc12afc7beca53 100755 (executable)
@@ -1,3 +1,3 @@
-read_verilog -sv -DSIM flash.v
-prep -top top -nordff
-sim -clock CLK -vcd test.vcd -n 3000
+read_verilog -sv -DSIM lisp_processor.v
+prep -top cpu -nordff
+sim -clock clk -vcd test.vcd -n 3000
This page took 0.009409 seconds and 4 git commands to generate.