Commit | Line | Data |
---|---|---|
a051754e MG |
1 | DEVICE = hx1k |
2 | ||
46a95fd3 | 3 | all: toplevel.bin |
a051754e | 4 | |
46a95fd3 MG |
5 | toplevel.bin: master.rpt master.bin worker.rpt worker.bin |
6 | tools/icestorm/icemulti/icemulti -o toplevel.bin -v -p0 worker.bin master.bin | |
7 | ||
8 | master.blif: master.v | |
ffba35f8 | 9 | tools/yosys/yosys -p 'synth_ice40 -top master -blif $@' $< |
a051754e | 10 | |
46a95fd3 MG |
11 | worker.blif: worker.v |
12 | tools/yosys/yosys -p 'synth_ice40 -top worker -blif $@' $< | |
13 | ||
14 | %.asc: %.pcf %.blif | |
2542aba7 | 15 | tools/arachne-pnr/bin/arachne-pnr -d $(subst hx,,$(subst lp,,$(DEVICE))) -o $@ -p $^ -P tq144 -s 4 |
a051754e MG |
16 | |
17 | %.bin: %.asc | |
2ed306f8 | 18 | tools/icestorm/icepack/icepack $< $@ |
a051754e MG |
19 | |
20 | %.rpt: %.asc | |
7560fdba | 21 | tools/icestorm/icetime/icetime -C tools/icestorm/icebox/chipdb-$(subst hx,,$(subst lp,,$(DEVICE))).txt -d $(DEVICE) -mtr $@ $< |
a051754e | 22 | |
46a95fd3 MG |
23 | prog: toplevel.bin |
24 | tools/icestorm/iceprog/iceprog $< | |
25 | ||
26 | progall: toplevel.bin | |
27 | bash progall.sh | |
28 | ||
29 | progmaster: master.bin | |
2ed306f8 | 30 | tools/icestorm/iceprog/iceprog $< |
a051754e MG |
31 | |
32 | clean: | |
46a95fd3 | 33 | rm -f master.blif master.asc worker.blif worker.asc master.bin worker.bin toplevel.bin |
a051754e | 34 | |
23c26e04 MG |
35 | |
36 | sim: | |
46a95fd3 | 37 | tools/yosys/yosys -p 'read_verilog -sv -DSIM worker.v; prep -top worker -nordff; sim -clock CLKin -vcd test.vcd -n 3000' |
23c26e04 MG |
38 | |
39 | .PHONY: all prog clean sim |