965efd1672ab2382a21d9c02d073ea487a6adeb7
[clump.git] / yosys-sim-script
1 read_verilog -sv flash.v
2 prep -top top -nordff
3 sim -clock CLK -vcd test.vcd -n 1000
This page took 0.020683 seconds and 3 git commands to generate.