eae3049a4d11e22db9243864abcea405992f8dee
[clump.git] / yosys-sim-script
1 read_verilog -sv flash.v
2 prep -top top -nordff
3 sim -clock CLK -vcd test.vcd -n 200
This page took 0.017596 seconds and 3 git commands to generate.