LISP processor works now
[clump.git] / yosys-sim-script
1 read_verilog -sv flash.v
2 prep -top top -nordff
3 sim -clock CLK -vcd test.vcd -n 200
This page took 0.018905 seconds and 4 git commands to generate.