Proper macros for simulation/normal running
[yule.git] / yosys-sim-script
1 read_verilog -sv -DSIM flash.v
2 prep -top top -nordff
3 sim -clock CLK -vcd test.vcd -n 3000
This page took 0.02002 seconds and 5 git commands to generate.