Add diagrams and pictures
[clump.git] / lisp_processor.v
2018-05-06 Marius GavrilescuUART is now very fast (3MM baud!)
2018-05-05 Marius GavrilescuGC needs no reset
2018-03-22 Marius GavrilescuDiscover the PLL and multiply the CLK by 4
2018-03-19 Marius GavrilescuSlightly simpler writer, P becomes freeptr
2018-03-16 Marius GavrilescuAdd controller module
2018-03-16 Marius GavrilescuSeveral cleanups
2018-03-16 Marius GavrilescuSome renamings
2018-03-14 Marius GavrilescuGet rid of flash.v
2018-03-01 Marius GavrilescuWriter now works fine
2018-02-26 Marius GavrilescuBetter writer and faster UART
2018-02-20 Marius GavrilescuReset pins + add reader and writer
2018-02-19 Marius GavrilescuOne clock is enough
2018-02-19 Marius GavrilescuPull the gcram outside the gc
2018-02-19 Marius GavrilescuMake words 16 bits wide
2018-02-07 Marius GavrilescuLISP processor works now
This page took 0.03783 seconds and 21 git commands to generate.